公务员期刊网 精选范文 eda技术论文范文

eda技术论文精选(九篇)

eda技术论文

第1篇:eda技术论文范文

关键词:EDA技术;高职院校;教学实践;分析和探究

中图分类号:TN79-4 文献标识码:A 文章编号:1674-7712 (2013) 12-0000-01

一、引言

新形势下,随着现代化科技的蓬勃发展,以及电子技术在各行业的应用规模不断扩大,促使电子设计自动化(EDA)技术在高职教学实践中的应用也越来越受到人们的普遍关注及重视。从当前来看,EDA技术在教学、科研,以及产品设计和制造等方面均有着极为重要的作用。一方面,EDA技术能够借助各类电路设计与仿真,实现对产品的焊接、测试、生产和研制;另一方面,它还能够广泛应用于各种建筑装潢、效果图处理、汽车及飞机模型构建,以及影视特技处理等方面之中,对于高职院校的实践教学来说同样具有不容忽视的意义。为此,本文主要结合现阶段高职教学实践中的EDA技术应用所涉及的一些相关知识点进行讨论,现具体报道如下。

二、EDA技术的概念及主要特征

(一)EDA技术的概念

电子设计自动化(Electronic Design Automation,EDA)技术,主要是指人们通过利用各种电子工程设计自动化软件来完成大规模电子设计的一种技术。从当前来看,EDA技术不但能够为数字系统提供新型的设计思路以及方法,具有革命性意义;同时,伴随着其设计自动化程度的提高,也能够实现数字系统向高度复杂性和集成性的方向转变。从EDA技术兴起的角度来看,它先后共经历了三个阶段,即计算机辅助设计(CAD)阶段、计算机辅助工程(CAE)阶段,以及当前的电子设计自动化(EDA)阶段。

(二)EDA技术的主要特征

EDA技术发展至今,可总结出以下几点主要特征,包括自顶向下的设计方法、基于HDL的输入语言,以及综合能力强、开发环境开放与数据库标准化等。其中,就其设计方法而言,EDA技术主要采用自顶向下的方法进行设计,具体是先通过分解模块,再分派小组负责不同位置的进程或单元,最后实现汇总单元形成系统来完成设计的。而基于HDL语言的硬件输入和描述,则能够增强其电路描述与建模的能力,从而实现硬件设计任务的简化,保证设计效率及可靠性。另外,EDA技术的综合能力强、开发环境开放和数据库标准化特点,则体现在它能够被广泛应用于各种系统平台,且能够适合于电子类课程的教学与实验当中。

三、EDA技术在高职教学实践中的重要作用

在电子信息技术迅猛发展的今天,各高职院校在日常教学以及设计实践当中,已越来越离不开EDA技术。EDA技术不但能够为高职教学实践创造良好的设计条件,而且也是当代电子技术设计人员所必须掌握的技能之一。不过从现阶段来看,大多数高职院校在采用EDA技术进行教学和实践研究时,往往将精力集中放在准备实验上,而忽视了对仪器的充分使用,即没有充分发挥学生的自主动手能力。长此以往,势必会给学生熟练掌握电子信息技术技能造成影响,导致学生无法更好地满足工作岗位的基本要求,职业能力有所降低。可见,提高EDA技术在高职教学实践中的应用,对于提高学生的自主动手能力、岗位竞争能力具有极为重要的作用。

四、EDA技术在高职教学实践中的应用分析

(一)基于EDA技术的设计

在此阶段,需要用到的元器件主要是发光二极管(6个)和开关(2个),可分别用于模拟汽车尾灯和转弯控制信号。其中,汽车尾灯用的发光二极管,应设计为左、右各3个;而模拟转弯控制信号的开关,则设计为1个用于控制右转弯和1个用于控制左转弯。在汽车行驶时,尾灯均处于熄灭状态。若此时汽车转弯,则尾灯根据其转弯方向点亮;若是向右转弯,右边尾灯依次从左到右循环点亮,左边尾灯保持全灭状态;反之,则依次由左到右循环点亮汽车左部3个尾灯。若是遇到需要紧急刹车或是停车时,汽车尾灯同时作明灭闪烁。

(二)EDA技术在高职教学中的实践应用

1.设计任务的讨论方式。根据上述设计任务,让学生以小组的形式进行讨论和资料收集。资料来源的形式可以是从图书馆借阅、从网站上下载,或是参考教材内容等。重点需要查阅的知识包括数字电子技术、EDA设计技术、图形输入法和VHDL语言等。在资料收集完成后,由学生以小组为单位进行讨论,并对各自的观点进行筛选和汇总,当讨论完成后,对具体实施方案的相关安排进行讨论和设计。

2.设计任务的分配方式。根据EDA技术自顶向下的设计特点,拟将任务分成控制模块、右转弯模块、左转弯模块和顶层模块等4个模块。首先,应对各模块的输入量和输出量进行系统地确认;在对模块输入进行描述时,可采用图形输入法(或是语言描述输入法)来实现,分别对每个模块进行正确的编辑、编译以及仿真。其次,完成对各模块的封装工艺。再者,通过新建原理图文件来调出并连接各模块的封装图;最后,完成编译和仿真,并按照用户自定义或自主分配的方式来完成引脚的分配。

3.实验结果。将左、右转向开关用导线分别连接到锁定管脚的试验箱的拨码开关上,左、右转向的尾灯则分别连接到其LED上,完成后再对结果进行下载和观察。

4.分析总结。在设计任务完成后,由学生对自身成绩与不足进行及时整理,并对以后的任务设计提出更高的要求。通过学生自主讨论以及总结的方式,能够让学生更为明确EDA技术的重要性及必要性,从而提高对EDA技术的掌握能力。

五、结束语

本文通过结合EDA技术的概念和主要特征,以及其在高职教学实践当中的重要性和具体应用加以讨论,可以看出,EDA技术除了能够帮助学生更好地掌握各类电子仪器设备的基本性能之外,还能够有效提高其自主学习能力,帮助学生深化理解相关的电子设计技术及理论知识。而且在推动教学目标的实现和高端实用人才的培养上,也具有极为重要的作用。

参考文献:

[1]崔宗超.EDA技术在高职院校电类专业中的应用探讨[J].济源职业技术学院学报,2004,03(30).

[2]高昀.EDA技术在教学实践中的研究与应用[D].电子科技大学,2009,05(01).

第2篇:eda技术论文范文

关键词:数字电子技术,EDA技术

 

1.引言

在信息社会中,数字化是电子产业发展的必然趋势,因此在电子信息及相关专业的教学中也越来越看重数字技术,《数字电子技术》是电子信息及相关信息类专业的一门重要基础课程,其基本理论及实践技能也是许多后续课程的基础。EDA(Electronic DesignAutomation)技术作为数字电子技术的延伸,已经引入到电子信息类教学中,不仅可以丰富教学摘要的意义。

2.《数字电子技术》课程教学的主要问题

教学手段单一,实验内容枯燥,学生缺乏学习兴趣。,EDA技术。在教学中仍采用理论教学与实验课分离的教学模式,在理论教学中,《数字电子技术》内容大多抽象难懂,教师采用“黑板+粉笔”的传统模式授课,不仅学生觉得枯燥乏味,而且教学效果不理想。而实验课的实验内容多为验证性实验,学生仅仅只需按照实验步骤连接电路甚至不需要了解原理也可以完成实验,设计性、综合性实验较少。数字电路的设计仅是“纸上谈兵”的设计,学生自然对这门课的实验毫无兴趣。

3. EDA技术及其功能

3.1 EDA技术的含义

EDA即电子系统设计自动化技术是由计算机辅助设计和计算机辅助工程发展而来的,它以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统设计的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统的一门新技术。它可以实现逻辑编译、逻辑化简、逻辑分割、逻辑综合与优化以及逻辑布局布线、逻辑仿真,完成对于特定目标芯片的适配编译、逻辑映射,编程下载等工作,最终形成集成电子系统或专用集成芯.它不仅为电子技术设计人员提供了新的设计理念,同时也为教学提供了科学而便捷的平台。

3.2 EDA技术的功能

EDA技术的开发平台QUARTUS Ⅱ是Altera公司推出的新一代FPGA/CPLD开发软件,适合于大规模复杂的逻辑电路设计。它是Altera公司的第4代可编程逻辑器件的集成开发环境,提供了从输入设计到器件编程的全部功能。“自顶向下”设计方法从系统设计人手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述。在功能一级进行验证,然后用逻辑综合优化工具生成具体的门级逻辑电路的,其对应的物理实验级可以是印刷电路板或专用集成电路。

1)EDA软件平台中具有各类元件设计数据库,同时EDA能够进行元器件的创建和编辑。为学生掌握各类电子元器件提供了坚实的基础。

2)EDA软件平台中可以完成电路原理图的设计,通过这一功能可以完成各类元器件构成的电路原理图。

3)EDA软件平台中具有综合仿真模块,可以进行多种类型的仿真分析。分析结果波形图显示出来,直观、清晰。

4 应用EDA技术授课的好处

4.1 提高授课质量

有些内容选用EDA技术授课,传授方式更直观,教师感到得心应手,学生也容易接受。例如,组合逻辑电路中的竞争与冒险这部分内容一直是学生学习的一个难点。,EDA技术。,EDA技术。在组合电路中,当输入信号改变状态时,输出端可能出现虚假信号---过渡脉冲的现象称为竞争冒险。在传统的教学中,对信号的延时只能采用一些形象的比喻,但初学者对这一概念比较模糊。现在,我们只需用QUARTUS Ⅱ模拟功能进行仿真,就可以很轻松地让学生理解这一知识点,分析结果以波形图显示出来,丰富直观的数据不但为学生提供方便,而且其得出的结论接近实践性,同时节约了时间。,EDA技术。显然,对某些章节中存在的教师难讲、学生难懂的内容,这种授课方式可以起到事半功倍的作用。由于教授方式符合客观认知规律,教学质量得以提高。

4.2 激发学生学习热情,增强学习动力

EDA软件的实验环境是一个虚拟环境,可以避免使用种类繁多的专用集成芯片,简化实验电路。学生用硬件描述语言编写程序,可以在同一可编程逻辑器件上完成各种不同的数字电路实验,避免了连线的繁琐,丰富实验内容。同时,可在实验设计构想的指导下开发一个通用的基于EDA的硬件平台,来实现所有的实验内容,缩短实验时间,提高实验效率。符合学生接受知识的客观规律,有助于调动学习的积极性,提高他们掌握所学知识的能力。课堂上师生互动、生动活泼,气氛非常活跃。学生的学习兴趣及学习动力大为增加。

4.3 以实验教学为载体。构造创新人才培养平台

采用EDA技术,那么学生必须自己在充分掌握实验理论的基础上自己编写程序,这有别于传统实验,学生仅仅只需按照实验步骤连接电路甚至不需要了解原理也可以完成实验。这将大大调动学生的主观能动性,提高知识应用能力。同时EDA工具能给学生创造优良的环境,强化了学生在教学活动中的主体地位,学生做实验时可以有针对性的选做,使学生具有更大的自由度。也可以在计算机上主动、反复设疑和实验,由不同实验结果,选择最佳设计或实验方案。通过验证、测试、设计、纠错和创新等方面进行不同形式的训练,使学生的动手实践能力得到较好的锻炼,逐步培养学生的综合设计能力、创新能力,有利于学生个性和才能的全面发展。,EDA技术。在此基础上还可以开设设计性实验,进行电子实习、电子竞赛、职业技能取证培训等。

5 结论

在《数字电子技术》的教学过程引入EDA技术,不仅可以使学生形象、直观地理解电路的相关原理和工作过程,还可以通过修改电路的形式或参数,与学生一起讨论电路中出现的各种现象,找出解决问题的方法,这样不仅可以活跃课堂气氛,提高学生的学习兴趣,学生反应易学、新颖、有趣。,EDA技术。同时理论和实验结合紧密,充分发挥了学生的积极性和创造性,达到了较好的教学效果。

参考文献

[1]阎石.数字电子技术基础[M].北京:高等教育出版社,1998.

[2]赵刚,张志亮,张菁等.EDA技术简明教程[M].成都:四川I大学出版社,2004.

[3]刘爱荣,王振成.EDA技术与CPI.D/FPGA开发应用简明教程[M].北京:清华大学出版社,2007.

[4]贾海瀛.《数字电子技术》课应用EDA技术的研究与实践[J],高等职业教育一天津职业大学学报,第14卷第3期.

第3篇:eda技术论文范文

关键词:EDA技术;数字电子技术;实验教学

中图分类号:TN79-4 文献标识码:A 文章编号:1007-9416(2017)01-0098-01

数字电子技术强调实践性和可行性,可以为学生提供丰富的理论知识,也可以给学生足够的实践经验。但是以往的实验教学模式已经无法满足学生实验的要求,因此选择EDA技术融入到实验教学当中,可以促进实验教学效率的提升。在教学中可以通过建设实验模块、完善虚拟实验等方法来实现两者的融合,提升实验教学的发展。

1 EDA技术的具体内容

EDA技术可以被翻译为电子设计自动化技术。主要作用包含仿真探析、布线处理、设计指导等,对促进产品设计的完善具有重要的作用,而且也可以为复杂的电路系统提供大量便捷方式,方便人们进行应用电路系统,促进系统操作的完善。

2 EDA技术在数字电子技术实验中的意义

2.1 提高学生的实验操作能力

由于电路调试方便、设计时间短、修改简单等优势,使得数字电子技术实验平台受到人们的欢迎[1]。同时也可以帮助学生更好地理解电子设计的重点,而且学生可以充分平台的作用,实施综合性实验,促进实验效果的提升。引入EDA技术,可以提高学生实验的热情,使学生在实验当中掌握更多理论知识和技能。

2.2 促进技术实验可靠性的提升

把EDA技术融入到实验当中,可以有效提高实验教学的效率。这是由于EDA技术可以帮助老师解决以往实验教学存在的问题,使学生可以逐渐提高对实验教学的兴趣[2]。同时经过实验的操作,可以有效突显电路设计当中隐藏的问题,比如不同电路使用过程中可能会出现延时的情况,这种情况的出现主要是由于不同电路具有竞争的特性,但是由于设备的不够精细,无法被人们直观地看见。EDA技术的融合,可以让学生清晰地看到这些现象,从而找出实验操作失败的原因。

2.3 促进实验教学质量的提高

EDA技术的应用没有被设备影响,这是因为依靠计算机进行各种工作。就算没有开展实验,也可以完成涉及的设计工作,使得实验文件和数据也可以在工作完成之后得到有效保存[3]。对芯片性能进行有效测试,可以促进实验测试准确性的提升。另外学生在进行实验操作的时候,可以进行询问实验操作当中遇到的问题,或者可以按照自身的想法进行设计,而无需进行担心由于实验失败引起的机器或者仪器破坏,这样可以有效提高实验的教学质量。

3 EDA技术在数字电子技术实验中的应用

3.1 构建实验模块,充分发挥实验系统的作用

可以利用EDA技术进行难度较高的实验教学,提高教学的效率。虚拟实验是在学习系统的基础上建立的,经过系统所特有的仿真模块获取大量的学习信息,然后使用虚拟实验平台管理大量的信息,并且获得准确的实验数据,使EDA技术的仿真功能得以有效发挥。在虚拟实验结构当中,还需要进行建设数据库,使获得的信息和数据可以得到有效总结,为人们进行实验提供针对性的历史参考数据。在实验模块当中含有的评估模块和实验管理不但拥有处理故障、管理工作的功能,还具有权限管理的功能。

3.2 完善虚拟实验,使技术实验顺利进行

实验中充分利用EDA技术可以建立出虚拟实验架构,使其虚拟实验平台与学习系统可以进行有效结合,促进虚拟实验的正常运行。经过EDA技术进行模拟、管理、设计等操作之后,可以使学习系统拥有仿真实验的功能,使学生可以从中获取更多的技术实验知识,从而可以提高实验的成功率。在学习系统当中,虚拟实验平台拥有管理信息、实验操作、效果评估等功能,在处理信息的时候,充分利用仿真功能,可以使虚拟实验平台在虚拟实验当中发挥作用,最终使技术实验得以完善。

4 结语

EDA技术的应用可以给许多领域提供有效帮助,尤其是在数字电子技术实验当中融入EDA技术,可以有效提高实验教学的效果。通过大量研究发现,EDA技术在数字电子技术实验当中具有提高学生的实验操作能力、促M技术实验可靠性的提升、促进实验教学质量的提高等作用,使学生可以顺利进行实验操作。也可以有效促进EDA技术的发展。

参考文献

[1]符继征.浅析EDA技术在数字电子技术实验中的应用[J].赤峰学院学报(自然科学版).2016(08):523-524.

第4篇:eda技术论文范文

关键词:数字电子电路,EDA技术,应用探究

在数字电子电路这门课程学习的过程中,理论知识的学习固然重要,但是相应的实验技能也是必备的。在学好理论知识的基础上,可以从实验方面出发,更透彻的学习这门课程。在实验的过程中,传统的实验就是通过导线把各种实际的实验器材连接在一起,主要在实验的过程中,通过实验的结果,更好的理解实验原理,从而有助于理论知识的学习。随着科学技术的不断发展,有了EDA这项技术。EDA技术就以计算机为载体,承载着各种模拟的软件,然后通过在相应软件的操作界面上进行软件的连接以及操作,这样大大方便了学生的实验,而且还能从一定程度上节省实验器材的经费,总之,这样的做法有很多的好处。本文将重点讨论关于数字电子电路设计之中EDA技术的应用探究。

1关于数字电子电路设计之中EDA技术的应用探究

1.1数字电子电路的概述

在我国职业教育体系中,与电子技术相关的专业中都设置到相关的专业基础课程,比如说模拟电子技术、数字电子技术基础等课程,其中数学电子基础这门课程还是比较重要的。在数字电子技术这门课程中,主要讲述一些逻辑关系,以及以逻辑关系为基础的各种门电路,除此之外就是各种组合而成的逻辑电路,其中包括TTL逻辑门电路,CMOS逻辑门电路等等,这些逻辑电路都是与生活息息相关的。在平时的生活中也会用到很多,比如说家里的开关,现在很多家中都会安装两个开关,当进门的时候在门口开灯,睡觉时在卧室关灯,这个就是是使用了最简单的逻辑电路。逻辑电路的使用方便了人们的生活。

1.2数字电子电路与EDA技术

数字电子电路技术这门课程在学习的过程中,除了要学好基础的理论知识,更重要的是在学好理论知识的基础上,要提高动手实验的能力,因为现在社会需要的是实践性的人才,正如在教育大会中指出,要平衡教育人才的培养,并且要重视实践性人才的培养。要培养实践性的人才,首先要做的就是对他们基础的动手能力进行培养,要如何培养才是要思考的问题。那就是从实验做起,实验技术随着科学的不断发展也在不断进步,如今已经有了比较成熟的EDA实验技术,这项技术就是让学生在虚拟的软件中模仿实物进行一定的实验训练。在数字电子技术中使用EDA这一项技术大大方便了教学,而且同时也能有效的提高学生对理论知识的理解。

1.3数字电子技术未来发展前景

目前我国的电子技术方面还是有一定的欠缺的,而且我国的市场这么大,所以要努力发展属于我国自己的电子信息技术。而且电子信息技术是一个非常核心的力量,只有掌握了这样的核心力量,才能让我国的电子技术发展的更好。通过电子信息技术,可以成为击垮一个国家的秘密武器,所以努力发展自己国家的核心技术力量,并且还要不断的更新,这样才能在未来的世界中变得强大。所以,电子信息技术的发展趋势良好,而且发展空间也足够大。

2数字电子电路设计之中EDA技术应用的作用

2.1有助于更好的学习理论知识

在数字电子电路这门课程的学习过程中,都是一些枯燥无味的理论知识,这样容易造成学生在学习过程中的疲劳,而且会造成课堂效率大大降低的不良影响。数字电子技术是一门纯粹的理论知识,而且都是一些我们不熟悉的电路方面的内容,所以在单单的讲解理论知识的时候,学生们不容易想象到他的具体的实物,这样就对学习造成了很大的困扰。但是通过借助EDA技术在数字电子信息技术的学习过程中,会对学生的学习有很大的帮助。在学习了抽象的理论知识之后,通过在EDA技术上进行模拟,这样就比较容易理解理论知识。这样的做法对学习理论知识都很大的帮助,不仅能提高学生的学习效率,而且还能培养实践性的人才。

2.2通过学习EDA技术,不断创新

在数字电子信息技术的学习过程中,通过借助EDA技术,可以培养学生的动手能力。在实验的时候,学生一般都是通过对课本上已有的知识进行模拟,学习。但是实验就是创造的过程,有很多伟大的发明就是在实验的过程中发现的。在学生进行实验的过程中,不断对实验结果进行调试的过程中,有可能就会发现新的成果。所以可以通过借助EDA技术在数字电子信息技术中,让学生在不断实验的过程中,碰撞出科学的火花,不断的创新,壮大我国的电子科学技术。所以说要大量的运用EDA技术在数字电子电路的学习中,这样可能某个时刻就会对我国的科学作出贡献。

2.3更好的适应于未来的社会

现阶段我国的科学技术不断发展,日新月异,尽管如此我国的科学技术与世界还有一段差距,所以说还是要不断发展科学技术,尤其是电子科学技术,因为现在的社会已经是非常现代化的电子信息社会了,未来的社会更是电子信息的社会,任何事情都离不开电子技术。所以在目前这个阶段要大力发展电子信息技术并且掌握基本的电子信息技术的使用方法,这样才能在将来的社会中立足。所以在目前的学习中不断使用实用性的EDA软件的过程也是在不断适应现代社会的过程。

3结束语

本文中,通过讲述数字电子电路,数字电子电路与EDA技术以及数字电子技术在未来的发展前景这三点来阐述了关于数字电子电路设计之中EDA技术的应用探究。数字电路是一门贴近生活的比较基础的课程理论,它的成果运用于人们的生活中大大方便了人们的生活。相信通过使用EDA技术在数字电子电路设计之中,一定会使数字电子技术发展的更好,同时也会促进EDA技术不断成熟。

参考文献

[1]关于数字电子电路设计之中EDA技术的应用探究;陈惠娟;《电子制作》;2015年23期

[2]CGP函数建模在天线设计中的应用;于章意曾三友;中国地质大学;2013年

第5篇:eda技术论文范文

1 EDA教学的重要性

EDA是以计算机为工作平台,以EDA软件工具为开发平台,以硬件描述语言HDL为设计语言,以大规模可编程器件FPGA/CPLD为载体,以ASIC/SOC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计新技术。随着集成电路的发展,传统的电路设计方法已经远远不能满足现代电路设计的要求。而EDA技术在面对当今电子产品生命周期缩短、集成度高、需要及时融入新技术表现出了很好的适应性。因此,EDA技术已经成为当今电子设计的新手段,代表了电子设计的新潮流。 据了解,在很多西方国家,EDA技术已经融入教学并且占有重要地位。不管从科技发展还是从培养人才的角度,还是为了我国电子行业能与国际上形成更有力的竞争,我们都应该引进和学习新的EDA技术,培养更多这方面专业素质的人才适应竞争的需要。因此,我们应该在高校开设EDA课程,而且应该在教学内容、教学方法和手段上狠下一番功夫,深入电子类专业课程体系,做出一番深入的探索。

2 本校EDA教学的实施

从2007年开始,我校在电子类两个专业开设了《EDA技术》这门专业课,开始了EDA教学尝试。由于我们是职业院校,给学生定下的培养目标是:具有看懂或组建EDA电子系统的初步能力;具有利用EDA及其VHDL语言设计电子系统的初步能力。

在教学内容的安排上,我们将其划分为六个单元。第一单元我们讲述EDA技术基本概况、CPLD/FPGA的结构及原理;第二单元介绍EDA的设计工具软件Quartus II以及原理图设计方法;第三单元讲述VHDL语言以及简单逻辑电路的设计;第四单元讲述组合逻辑电路系统设计;第五单元讲述时序逻辑电路系统设计;第六单元为有限状态机设计。在整个课程过程中,我们把重点放在了“如何去设计一个逻辑电路,怎样去设计,以及如何将设计好的电路通过CPLD/FPGA器件来实现”上,希望通过学生了解一种器件,掌握一门语言,熟悉一种设计工具。

本课程属于实践性很强的课程,为了实践环节的顺利实施。在学校及分院领导的重视下,我们配置了EDA实训室。该实训室共50台学生机以及1台教师机,并配备投影仪及实物展台。同时我们将课程开设在实训室,通过教师一边讲解,学生一边练习的理实一体的授课模式,让学生增强动手能力。

经过几年的教学实践,我们培养了一些不错的EDA人才。与此同时,考虑到EDA课程的重要性,我们将其开设到微电子专业,同时将编程语言更改为更接近于高级设计语言的Verilog HDL,并将实验设备主要器件从早期的CPLD更新为FPGA,满足EDA技术发展的需求。

3 EDA教学改革

EDA技术作为一门独立的课程教学在高校中已经存在了好多年。在所有的电子类专业中,我们都需要开设数字电子技术这门专业基础课,它的理论性以及工程实践性很强。在职业院校里,它的任务是为培养应用型高技能人才提供必要的基础理论和实验技能。在数字电子技术课程实施过程中,我们通常会安排若干个实验项目,有时会利用仿真软件multisim做模拟,有时会直接利用实验箱。在利用实验箱进行实验的过程中,存在接触点不稳定、损耗大、实验功能单一等等诸多的缺点。而且由于元器件不断重复使用,加上连线的可靠性变差,容易产生接触不良等诸多问题。我们可以尝试将EDA技术与数字电子技术融合而成一门专业课,在讲授数字电路的同时,通过EDA技术,让学生掌握设计以及实现的方法。将EDA引入数字电路是现代教育发展的趋势。通过计算机辅助软件来进行数字系统设计和模拟仿真,这种实现方法易于修改、易于实现并且安全,同时排除了学生对设备安全的担忧。利用EDA工具,学生可以在学习的过程中充分发挥创造力,做不同的尝试,大大激发学生的兴趣,培养和提高学生的创新意识和创新能力。

第6篇:eda技术论文范文

关键词:EDA技术;教学实践;电子设计

DOI:10.16640/ki.37-1222/t.2017.11.244

0 引言

电子设计自动化(EDA技术)标志着当今电子信息工程领域最新的发展动向,可以将电子研发产物从电路设计、性能分析到设计出IC版图和PCB版图的整个设计流程都运用计算机进行自动化模式处理。本文主要是通过EDA技术发展至今的作用与特点,并结合EDA技术在教学实践方面、元器件方面、电子设计过程方面应用的阐述来对EDA技术在几个不同方面发展应用的思考,并对我们在EDA实验实践课上通过对EDA技术的接触后将其与传统的固定硬件电路板作对比,思考其不同之处;对电子设计过程的发展与应用来作一个较为综合的阐述。

1 EDA技术在教学实践方面的发展应用

现在我们在学校所学习的电子电路比较复杂,在电路设计当中有很多的关于数字电路方面的知识和应用也包含其中,在电子设计当中会广泛的使用到可编程逻辑器件,因为可编程逻辑器件能够在提高系统的可靠性的同时增强设计的灵活性与可维护性,能够使电子线路的设计更加方便与快捷,EDA实验软件就是我们必不可少的软件工具。

在学习EDA实践课的这段时间当中,通过老师对EDA软件的介绍与我们自己的实际操作操作看来,我认为EDA这个软件在进行电子电路设计时是非常方便的:

①我们在以往的电路实验当中都是自己对照着电路图来进行连线,电路图复杂一些就会将线路连接错,并且对于设计的电路而言工作频率低、干扰大,接线是固定的,使得有着比较大的局限性;而EDA实验软件采用了软开放结构,不仅能够在高频状态下工作,而且抗干扰能力强,我们在做实验时在软件上接好需要的连线,下载到电路仿真箱就能实现将模拟电路接通,如果过程中出现错误或连线错位等现象,软件就会自动弹出其对话框,这样就避免了电子元器件连通出错而导致的电路板故障和出现其它问题的迹象;这种软接线方式还能够定义好接线保存在计算机上方便下次实验时读取,如果是硬件就不能够做到。②在进行电子实践的设计中经常会用到显示电路,如果显示电路连接到了固定的引脚,导致实验时没有所需要的输入输出口就会浪费电子元件内部原本充足的资源;EDA实验软件用的是智能译码技术,与软件连接技术相似,在软件上设置好译码方式后,下载到实验箱上即可在实验箱上实现所要求的译码电路。③我们再做模电和数电实验室采用的都是固定的电路板,其接线全部固定,因此模式也无法改变,用人工接线固定的路板不便于观察;EDA可以采用各种多样性的设置模式来对软件进行多样化的设置,在对其模式进行选择设置的时候,电子元件会相互产生冲突并给出相应的提示,以防接线出错而造成不必要的损失。

电子设计自动化的发展与使用不仅让我们对所学的知识中较为前沿的技术和器件加以了解,还能够让我们对现代化的电路电子的设计软件、性能及电子产品的设计和生产过程都有一个比较感性的认识,是我们能够更加直观的将课本上的理论知识与实践操作两者相结合。

2 EDA技术在电子设计过程的发展应用

EDA技术是在电子设计中对于其它研发或模拟的方式来说其级别相对较高,以电子理论作为基础使得在制作过程中不需要用到基础的电路元器件模拟图,只需要确定所设计的目标后就可以直接在计算机上进行实际设计操作了,大大降低了对过于关注电路的细节而造成的约束及限制,提高了在设计中的拓展型、多样型的创造观念。

EDA技术在计算机上的流程基本为系统划分、VHDL硬件描述语言的输入经编译器来完成代码仿真。其自动化的制作利用文本与图像线型编辑功能来进行设计描述。电子设计借助编译器进行编译排错,再将软件和硬件进行连接便于实施功能仿真,确认仿真无误后通过FPGA完成逻辑映射操作,即编程下载,系统设计完成。

EDA技术在电子设计时的应用要注意以下几点:

(1)在制作电路与元器件的布置时,延时时间具有无法估计的工况,自动编译会产生一部分多出线路,因此,在电子设计自动化的时候,反向器的个数不易为偶数并联连接;(2)在配置I\O口时,一定要将输入在没有空置的情况下进行接地,在驱动时要确定是有源信号;(3)在制作电子电路的时候,通常需要留出足够的逻辑单元和引脚,以满足将来可能会进行的延伸和变动时候的需求;(4)一定要对各个电子电路的元器件采取温度保护,以避免其在运行过程中温度对元器件的损耗。

目前对于电子设计自动化的发展应用,可以通过软件来实现设计并对硬件功能进行全面的描述,最后由FPGA/CPLD得出设计结果;因此,同传统的电子电路制作相比较EDA技术在工业和电子信息化的领域中有着根本性的创新。在当前电路电子设计过程如此复杂化的环境下一旦脱离了EDA技术的支持或者说EDA技术没有更进一步的发展,必定就极其难以制作出大规模、多元化的集成电路。

3 结论

EDA技术的应用与发展对于电子领域而言是具有创新性的技术突破,就现代化的进程而言;不仅在于教学实践中,在电路电子设计中,EDA技术能够直接或是间接的应用是越来越多,越发的广泛,具有多功能、智能化的电子产品的设计其大规模、精小细的电路电子设计已经是离不开EDA技术的使用与支持了;并且EDA技术已成为当今最为核心的与电子电路设计密不可分的组成部分;EDA技术的发展可以带动或提高电子产品核心地位,促进电子领域不断地向着大规模集成化、多功能化、智能化、小型化等方面的发展。

参考文献:

[1]陈瑾.电子工程设计的EDA技术研究分析[J].无线互联科技,2016(21):57-58.

[2]王少坤.电子工程设计的EDA技术研究分析[J].电子测试,2016(08):156-157.

第7篇:eda技术论文范文

1.1初级阶段

大约在二十世纪的七十年代,早期的EDA技术处于CAD阶段,出现了小规模的集成电路,由于传统手工在制图设计中的集成电路和集成电路板的花费大、效率低、周期长,借助于计算机技术的设计印刷,采取了CAD工具实现布图布线的二维平面编辑和分析,取代了高重复性的传统工艺。

1.2发展阶段

到了二十世纪八十年代,EDA技术进入了发展完善的阶段。集成电路的规模逐渐扩大,电子系统日益复杂化,人们深入研究软件开发,将CAD集成为系统,加强了电路的机构设计和功能设计,这一时期的EDA技术已经开始延伸到半导体芯片设计的领域。

1.3成熟阶段

经过了长期的发展,直至二十世纪九十年代,微电子技术的发展突飞猛进,单个芯片的集成就能够达到几百万或是几千万甚至上亿的晶体管,这种科技现状对EDA技术提出更高的要求,推动了EDA技术的发展。各类技术公司陆续开发出大规模EDA软件系统,出现了系统级仿真、高级语言描述和综合技术的EDA技术。

2EDA技术软件

2.1EWB软件

所谓EWB是一种基于PC的电子设计软件,具备了集成化工具、仿真器、原理图输入、分析、设计文件夹、接口等六大特点。

2.2PROTEL软件

该技术软件广泛应用了Prote199,主要由电路原理图的设计系统和印刷电路板的设计系统两大部分组成。高层次的设计技术在近年的国际EDA技术领域开发、研究、应用中成为热门课题,并且迅速发展,成果显著。该领域主要包括了硬件语言描述、高层次模拟、高层次的综合技术等,伴随着科技水平的提升,EDA技术也必然会朝向更高层次的自动化设计技术不断发展。

3EDA在电子工程设计中的应用技术流程

近年来的EDA技术深入到了各个领域,包括了通信、医药、化工、生物、航空航天等等,但是在电子工程设计的领域中应用的最为突出,主要利用了EDA技术为虚拟仪器的测试产品提供了技术支持。EDA技术在电子工程设计的领域中,主要应用于了电路设计仿真分析、电路特性优化设计等方面。主要的技术流程如下:

3.1源程序

通常情况下,电子工程设计首要的步骤就是通过EDA技术领域中的器件软件,利用了文本或者是图形编辑器的方式来进行展示。不管是图形编辑器或者是文本编辑器的使用,都需要应用EDA工具进行排错和编译的工作,文件能够实现格式的转化,为逻辑综合分析提供了准备工作。只要输入了源程序,就能够实现仿真器的仿真。

3.2逻辑综合

在源程序中应用了实现了VHDL的格式转化之后,就进入了逻辑综合分析的环节。运用综合器就能够将电路设计过程中使用的高级指令转换成层次较低的设计语言,这就是逻辑综合。通过逻辑综合的过程,这可以看作是电子设计的目标优化过程,将文件输入仿真器,实施仿真操作,保持功效和结果的一致性。

3.3时序仿真

在实现了逻辑综合透配之后,就可以进行时序仿真的环节了,所谓的时序仿真指的就是将基于布线器和适配器出现的VHDL文件运用适当的手段传达到仿真器中,开始部分仿真。VHDL仿真器考虑到了器件特性,所以适配后的时序仿真结果较为精确。

3.4仿真分析

在确定了电子工程设计方案之后,利用系统仿真或者是结构模拟的方法进行方案的合理性和可行性研究分析。利用EDA技术实现系统环节的函数传递,选取相关的数学模型进行仿真分析。这一系统的仿真技术同样可以运用到其他非电子工程专业设计的工作中,能够应用到方案构思和理论验证等方面。

4结束语

第8篇:eda技术论文范文

关键词:EDA技术 数字电子技术教学 实验应用

中图分类号:G632         文献标识码:A        文章编号:1674-2117(2014)14-00-01

1 EDA概述

EDA是电子设计自动化简称。它是一门新兴技术,涉及面广泛,内容丰富,学术界尚有争议,目前还没有一个准确的定义。但从EDA的技术内涵来看,它以大规模可编程控制器为依托,以硬件描述语言为主要表达方式,以可编程器件、配套编程软件、计算机为工具,通过相关的二次开发软件完成电子系统、硬件系统设计的一门新技术。EDA技术功能强大,可实现逻辑编译、逻辑分割简化、逻辑优化设计、逻辑布线规划、逻辑仿真分析等。EDA技术的出现离不开计算机、集成电路和电子系统的飞速发展,至今已经历30多年的发展过程。EDA作为一个软件,能够帮助工程师设计硬件电子电路,它在产品的各个设计阶段都起到了重要作用,为复杂电路系统设计提供便捷。在21世纪,EDA技术必然会对社会经济发展做出巨大贡献。

2 基于EDA技术的实验构架

目前,国内的一些专业公司已经开发出了虚拟实验台,能够借助它完成一整套数字电子技术实验。EDA赋予了虚拟实验非常丰富的内容,能够实现一些实际中难以开展的电子模拟实验。

2.1 虚拟的数字电子技术实验构架

以下选取几种常用EDA开发工具,简要讲述虚拟数字电子技术实验平台的搭建过程。改虚拟数字电子技术实验平台包含两部分:首先是实验仿真功能模块,属于以EDA为基础的学习平台;再者就是虚拟实验平台,实现实验平台信息的管理和功能评估。两部分间需要进行信息交换,进而构建起功能覆盖面广的EDA数字电子技术实验平台。实验平台架构图见图1。

2.2 各模块框架

该实验平台的实验仿真功能有四个部分:①项目信息采集;②基础教育;③虚拟实验开展;④实验结果后处理。实验参与者通过虚拟实验台获取相关的实验要求,进行一定的基础学习准备,并选取合适的EDA工具,完成实验任务,对实验数据、图表、仿真曲线、程序代码进行汇总,上传到服务器,以便日后查阅。

基础学习部分有四个元素:①软件编程语言学习;②EDA工具普及;③实验仪器操作明细;④理论知识储备。常用的EDA工具包括QuartusⅡ、Protel和Matlab等。汇编语言和VHDL语言是应用最多的编程语言。实验器具以常用数字芯片为主。此外,通过局域网还可以下载完整的数字实验设计案例,包含了详细的设计思路,以及系统关键技术,便于学习者对系统有一个深入理解,对于提升设计能力大有裨益。

同样,管理评估模块也包含四个部分:①实验内容;②实验项目审批;③实验项目进度跟踪;④实验信息汇总管理。管理者要将实验内容放到平台上,告知相关实验人员。实验人员完成任务后,需要将结果拷贝到数据库中。

3 在实验教学中引入EDA的优势

3.1 提高实验可靠性

EDA融入实验教学,能够扬长避短,提高实验教学效率,而且实验结果有较好的可信度,直观反映出现有的电路设计存在的错误和冒险竞争。在实际中,各个门电路由于延时,会引发电路冒险竞争,正常信号混进不正常尖峰脉冲,但受到设备采样精度的影响,这种现象难以观察。EDA软件能够将这些实验现象直观呈现,并通过仿真技术找到问题解决方案。

3.2 提高学生现场实践动手能力

数字电子实验平台的开发周期短、调试方便、电路更改快捷,这些能够帮助学生快速掌握电子设计要领,再以此为基础进行研究设计以及后续的综合性实验设计,事半功倍。这不仅能激发学生学习兴趣,又能帮助学生尽可能将新知识、新方法提升到实践阶段,并为学生留下想象发挥的余地,有利于后续深入研究的开展。

3.3 实验开放性较好

EDA仿真在时空上不受课时和器材制约。大部分工作借助计算机完成,设计工作可以走出实验室,完成后直接保存实验文件,测试芯片的实际运行特性,从而大大提高实验的灵活度。学生可以主动提出问题,自主设计实验,不必担心损坏仪器,迅速进行仿真,这能够大大提升教学水平。

3.4 提高实验效率

复杂实验涉及的参数众多,任何一个操作失误都会导致实验结果南辕北辙。EDA技术让实验操作变得简单,实验条件调整较为方便,同样时间可完成多种方案比较,提高实验教学效率。

3.5 弥补客观教学条件的短缺

不少学校因为经费问题无法购置成套实验设备,制约了某些实验的开展。EDA仿真让学生能够在电脑上完成一系列实验,获得与真实实验接近的体会,丰富感知,而且不用担心损坏仪器所产生的损失。

参考文献:

[1]蔡朝.利用EDA技术改造数字电路实验教学[J].孝感学院学报,2002(06).

第9篇:eda技术论文范文

关键词EDA技术;硬件基础课;教学改革

中图分类号:G642文献标识码:B

1引言

在计算机科学技术飞速发展的今天,EDA技术带来了全新的硬件设计理念与结构体系,其应用领域越来越广泛。欧美国家工科大学普遍要求计算机专业学生必须掌握一种硬件描述语言,国家教委指导委员会提议:“EDA技术是电子技术类教学改革的重要方向”。作为计算机学科的硬件基础课程,“电路与电子学”、“数字逻辑与数字系统”的教学内容,应有意识地将EDA技术和PLD器件纳为教学计划,将EDA工具贯穿整个教学过程,这样才能保证教学内容紧跟前沿技术的发展,有利于培养学生创新能力与综合素质。

2重构教学内容

EDA技术分为三个层次,如图1所示。将EDA技术引入教学内容时,必须按照此层次循序渐进,与之相应的课程体系结构如图2所示。当完成该课程体系的学习及相关实验后,学生应该能掌握现代计算机系统设计原理和方法,具备熟练应用相关软硬件工具的能力。要在学时不变甚至减少的硬件基础课教学中引入EDA技术,就必须根据专业学科的特点,在传统内容与新技术、理论教学与课堂实践中进行优化侧重,对教学内容进行重构。

(1) 重构“电路与电子学”(大一下学期)。

计算机专业“电路与电子学”教学内容的广度、难度可以比电子工程专业稍弱,但如果加大EDA的教学内容,则同样可以提升学生的电路设计能力。因此,课堂教学删除了部分传统内容,去掉了支路电流法、回路电流法、三相电路、半导体器件导电原理、反馈的方框图计算法;精简了阻容耦合放大电路(包括多级放大)、小信号动态图解法、差分电路分析等内容。

根据专业特点,相应加强了直接耦合放大电路、电流源电路和系统稳定性的教学内容。此外,增加了20学时的Multisim仿真及电路设计实验课,学生在课余时间完成设计和仿真验证,在有限的实验室课时内只进行硬件的接线、调试工作,这样既节约了课时,又提高了学生的动手能力。EDA技术与传统硬件实验相结合,提供了一种全新的实验教学体系。通过训练,学生基本掌握了设计-仿真D实际的现代模拟电路设计方法,为下一步可编程逻辑器件的学习打下基础。

(2) 重构“数字逻辑与数字系统”(大二上学期)

随着技术的进步,90%以上电子产品采用了数字技术,这个领域的一般教科书都因摩尔定律而缩短了它的适用期。因此,“数字逻辑与数字系统”课程必须舍弃一些陈旧内容用以讲授新知识、新方法。课堂教学删除了门电路、555电路、中规模集成芯片和触发器的内部电路、状态化简、编码技巧、异步时序、可编程器件PLA、PAL、GAL应用等内容;精简了卡诺图和布尔代数化简等传统手工设计方法。

教学中始终强调外部逻辑功能和时序特性的描述与分析,突出EDA技术和数字逻辑功能模块的设计,增加了数字系统的设计与优化、可编程逻辑器件CPLD/FPGA的原理与应用、有限状态机理论等内容。在教学中以逻辑代数与VHDL语言并行为基础,依据整体“自顶向下”,细节“自底向上”的教学模式。整个课程中的用例多取自于计算机基本逻辑部件,如译码器、运算器、移位器、计数器,直到存储器、控制器,最后给出数字系统的实例DCPU的逻辑构成。通过该课程的学习,初步建立了CPU的设计理念,为将来“组成原理与结构”、硬核嵌入式系统(ARM、MIPS)、软核嵌入式系统(NiosII)的学习奠定基础。

为巩固基础知识,实践教学首先安排中小规模集成芯片的应用性实验,而不是简单验证,如用三态门构成总线,用译码器设计端口寻址电路,用74LS163、74LS148及七段发光二极管实现计数显示电路等。之后,安排基于EDA技术的逻辑功能模块的设计,如用FPGA/CPLD实现译码器、加法器、计数器监视电路等。为进一步培养学生的实践能力和创新能力,在大二结束的小学期里,安排有三周的课程设计,要求用EDA技术完成五个综合性实验,包括频率计、电子钟、键盘扫描电路、交通信号灯控制器和数字音乐播放器。实践课都是开放式的实验环境,可自主选择器件,实现设计方案,改变了计算机硬件基础课“按图接线、查线排故、测看结果”的实验过程。此外,严格的实验验收制度及考核标准,如考核工具是否熟练使用,设计方案是否灵活多变等,都有效减少了设计抄袭现象的发生。

3EDA教学点设置

EDA技术所涉及的内容较多,对于计算机专业的学生,学习掌握软件工具的能力很强,EDA工具的使用只需要给学生相关内容的PPT即可,不用额外花费课时讲解。但是,如何根据专业学科特点,在有限的课堂教学中将EDA技术合理切入到理论教学中,使两者有机地融为一体却是至关重要的。

(1)Pspice/Multisim仿真平台切入到“电路与电子学”课程

通常,在理论教学完成一段时间后才会有相应的实验教学,这样导致学生当时在课堂上被激发出的兴趣及灵感逐渐消退。在“电路与电子学”课堂中及时切入EDA仿真平台,既可以增加学生对知识的感性认识,活跃课堂气氛,又能够将教学中的难点用直观的图形和曲线表述,降低教学难度,加深对理论概念的理解,起到了事半功倍的作用。在该课程中,仿真平台的切入点有以下四处:

第一,在直流分析中通过EDA仿真平台确定戴维南等效电路。首次介绍Pspice/Multisim时,应当让学生对仿真软件产生极大的兴趣,可以在讲仿真的前一次课中埋下伏笔,布置一道有难度的课后习题,使学生先进行分析。上课时使用EDA仿真,当瞬间分析出戴维南等效电阻和端口开路电压时,学生们都非常惊奇。此后,当遇到难题,或对答案产生疑问时,利用EDA工具仿真就成为了习惯。当然,仿真软件绝不能代替理论分析,要求作业中必须有分析步骤就可以保证这一点。

第二,根据我校的特色,考虑到通信系统中谐振电路的重要性,在交流分析中,仿真了谐振频率特性曲线,帮助学生理解掌握品质因数、带宽、谐振频率三者之间的关系。

第三,在放大电路一章中,利用EDA仿真软件易于改变电路参数值的特点,观察电路在不同静态工作点下产生的非线性失真。实践证明,虽然教学内容精简了小信号动态图解法的理论分析,但学生依然能很清楚地掌握电路参数的变化对放大性能的影响。

第四,仿真RC正弦波振荡器电路,观察输出由小到大起振并稳定在某一幅值的全过程,观察反馈电阻Rf对输出波形的影响。若Rf值稍大,电路不起振或振幅较小;Rf值稍小,则出现非线性失真。这种直观的图形加深了学生对信号发生电路的理解和对运放构成的非线性应用的认识。

(2)VHDL/QuartusII 切入“数字逻辑与数字系统”课程

精选范文推荐