公务员期刊网 精选范文 简述集成电路设计流程范文

简述集成电路设计流程精选(九篇)

简述集成电路设计流程

第1篇:简述集成电路设计流程范文

【关键词】数字集成电路;设计方法;同步数字系统

【中图分类号】TN402【文献标识码】A【文章编号】1006-4222(2016)04-0197-02

数字电路设计是一个正在不断发展着的学科,针对其设计方法一般包括了两种:①同步设计;②异步设计。从目前市场上的产品来看,大多数的数字电路都是采用同步设计的设计方法,究其原因,同步设计主要元器件是触发器,该技术较为成熟。但是随着人们需求的不断变化,异步设计也已经开始慢慢走近人们的视野之中。本文将首先对数字电路的设计流程进行简单的论述。

1数字电路流程设计

伴随着熟悉电路的发展,慢慢的,它已经有了较为完整的体系,主要包括了系统架构、RTL设计、综合优化、布局布线、版图设计等几个方面。下面依次对这几个方面进行介绍。系统架构是整个设计最基础的环节,同时也是十分重要的环节,因为只要有了一个好的系统构架那么设计起来就会十分的方便。在这个环节中需要对模块进行划分,同时也需要对接口进行定义等。下一个环节便是RTL设计。这一环节是核心环节因为在这一阶段需要用相应的语言来将电路描述出来。综合优化就是将RTL转化为相应的硬件电路。这个环节中往往是和工艺厂商进行合作,从而搭建出合适的电路。数字电路的布局布线与模拟电路相比要简单许多,因为很对芯片制造后,生产者就会给出基准单元库。然后利用EDA软件,根据这些相应的限制自动布局布线。最后一个环节也就是版图设计环节。就是在布局布线设计完成之后,结合基准单元生成具体版图,然后通过验证后,教给工厂代加工制造芯片。

2同步数字系统设计

在文章开始,笔者就提到同步设计法受到众多设计人员的青睐。下面本文就将严格按照上文中提到过的几种设计阶段对同步设计法进行详细的介绍:

2.1同步电路的优越性

之所以被称之为同步系统就是因为触发器的状态是有统一始终控制的。各个存储状态的改变都是在时钟的控制之下完成的。所以同步系统具有着多种好处。①同步电路保证各个存储单元都有着相同的初始态,并且只有在时钟沿到来之时,存储单元的状态才会发生转变,这样很大程度上就使得电路较为稳定,能够避免温度等对电路的影响。②能够很容易实现流水线,对于提高芯片的效率等方面具有较大的好处。

2.2触发器

触发器是同步电路的基本单元,尤其指的是D触发器。对于触发器而言,最重要的特点就是只有当时钟沿到来的时候,触发器才会将存储状态转变,也就是将数据端的数据保存起来。当时钟沿不到达时,触发器不会采取动作,这样就是同步电路较为稳定的原因之一。触发器在组成时,可以采用MOS管进行搭建,也可以采用简单的逻辑器件进行构建。

2.3RTL级描述

由于数字电路需要具备的功能越来越多同时规模也变的越来越大,那么系统这一理念也变得越来越强大。使用Ver-ilogHDL可以对系统进行行为级以及RTL级描述。行为级描述就是为了确认系统是否可行、可靠,同时也会检查算法是否正确。在进行RTL级设计的时候需要注意到描述的可综合性以及测试验证功能的完备性。描述的可综合性详细来说就是设计人员大多使用mod-elsim进行编译仿真。这款软件虽然简单实用,但同时也具备着不容忽视的弱点,就是VerilogHDL的容错性较强,不能区分出行为级描述以及RTL级描述。这就意味着设计人员的设计最终可能无法被综合成硬件电路。为了解决这一问题。设计人员就需要多多关注指令都能够被综合成什么样的电路,同时关注哪些指令不可被综合。RTL级描述中,功能需要是完备的。这就比可综合性困难的多。因为到目前为止并没有能保证功能完备性的验证体系。为了避免这个问题的出现。设计人员需要从以下的方面入手:①对于系统级规划中模块尽量按照其功能进行划分,这样就能够在进行RTL级描述时严格按照规划设计。②保持良好的编程习惯。③成立专人的测试部门,这样既有测试人员又有着设计人员。在测试人员的把关之下,很多的问题以及漏洞就会被发现。

2.4利用DesignCompiler综合优化

DC综合这一过程是数字电子线路设计的前端。在这个综合设计的过程中那个,DC需要进最大的努力进行优化,但是这之后可能依然有一些违例路径的存在。这时候就需要人工返回RTL级,进行修改然后再综合,不断的循环。

2.5利用SOCEncounter布局布线

同步数字设计的后端就涵盖了布局布线、时序验证、后仿等多个环节。对比模拟电路,数字电路布局布线较为简单尤其再利用一些软件之后能够大大的减轻人们的压力、提高工作效率,节省时间。

3小结

本文对于数字电路设计方法之中的同步设计法进行了详细的介绍,同时对于在设计过程中可能出现的问题以及解决方案都进行了论述,希望对于今后设计人员对数字电路的设计有所帮助。

参考文献

[1]孔德立.数字集成电路设计方法的研究[D].西安电子科技大学,2012.

[2]陈明亮.数字集成电路自动测试硬件技术研究[D].电子科技大学,2010.

第2篇:简述集成电路设计流程范文

关键词:PWM控制电路CPLDVHDL

在直流伺服控制系统中,通过专用集成芯片或中小规模的数字集成电路构成的传统PWM控制电路往往存在电路设计复杂,体积大,抗干扰能力差以及设计困难、设计周期长等缺点因此PWM控制电路的模块化、集成化已成为发展趋势。它不仅可以使系统体积减小、重量减轻且功耗降低,同时可使系统的可靠性大大提高。随着电子技术的发展,特别是专用集成电路(ASIC)设计技术的日趋完善,数字化的电子自动化设计(EDA)工具给电子设计带来了巨大变革,尤其是硬件描述语言的出现,解决了传统电路原理图设计系统工程的诸多不便。针对以上情况,本文给出一种基于复杂可编程逻辑器件(CPLD)的PWM控制电路设计和它的仿真波形。

1PWM控制电路基本原理

为了实现直流伺服系统的H型单极模式同频PWM可逆控制,一般需要产生四路驱动信号来实现电机的正反转切换控制。当PWM控制电路工作时,其中H桥一侧的两路驱动信号的占空比相同但相位相反,同时随控制信号改变并具有互锁功能;而另一侧上臂为低电平,下臂为高电平。另外,为防止桥路同侧对管的导通,还应当配有延时电路。设计的整体模块见图1所示。其中,d[7:0]矢量用于为微机提供调节占空比的控制信号,cs为微机提供控制电机正反转的控制信号,clk为本地晶振频率,qout[3:0]矢量为四路信号输出。其内部原理图如图2所示。

该设计可得到脉冲周期固定(用软件设置分频器I9可改变PWM开关频率,但一旦设置完毕,则其脉冲周期将固定)、占空比决定于控制信号、分辨力为1/256的PWM信号。I8模块为脉宽锁存器,可实现对来自微机的控制信号d[7:0]的锁存,d[7:0]的向量值用于决定PWM信号的占空比。clk本地晶振在经I9分频模块分频后可为PWM控制电路中I12计数器模块和I11延时模块提供内部时钟。I12计数器在每个脉冲的上升沿到来时加1,当计数器的数值为00H或由0FFH溢出时,它将跳到00H时,cao输出高电平至I7触发器模块的置位端,I7模块输出一直保持高电平。当I8锁存器的值与I12计数器中的计数值相同时,信号将通过I13比较器模块比较并输出高电平至I7模块的复位端,以使I7模块输出低电平。当计数器再次溢出时,又重复上述过程。I7为RS触发器,经过它可得到两路相位相反的脉宽调制波,并可实现互锁。I11为延时模块,可防止桥路同侧对管的导通,I10模块为脉冲分配电路,用于输出四路满足设计要求的信号。CS为I10模块的控制信号,用于控制电机的正反转。

2电路设计

本设计采用的是Lattice半导体公司推出的is-plever开发平台,该开发平台定位于复杂设计的简单工具。它采用简明的设计流程并完整地集成了LeonardoSpectrum的VHDL综合工具和ispVMTM系统,因此,无须第三方设计工具便可完成整个设计流程。在原理设计方面,本设计采用自顶向下、层次化、模块化的设计思想,这种设计思想的优点是符合人们先抽象后具体,先整体后局部的思维习惯。其设计出的模块修改方便,不影响其它模块,且可重复使用,利用率高。本文仅就原理图中的I12计数器模块和I11延迟模块进行讨论。

计数器模块的VHDL程序设计如下:

entitycounteris

port(clk:instdlogic;

Q:outstdlogicvector(7downto0);

cao:outstd_logic);

endcounter;

architecturea_counterofcounteris

signalQs:std_logic_vector(7downto0);

signalreset:std_logic;

signalcaolock:std_logic;

begin

process(clk,reset)

begin

if(reset=‘1')then

Qs<=“00000000”;

elsifclk'eventandclk=‘1'then

Qs<=Qs+‘1';

endif;

endprocess;

reset<=‘1'whenQs=255else

‘0';

caolock<=‘1'whenQs=0else

‘0';

Q<=Qs;

cao<=resetorcaolock;

enda_counter;

图2PWM可逆控制电路原理图

在原理图中,延迟模块必不可少,其功能是对PWM波形的上升沿进行延时,而不影响下降沿,从而确保桥路同侧不会发生短路。其模块的VHDL程序如下:

entitydelayis

port(clk:instd_logic;

input:instd_logic_vector(1downto0);

output:outstd_logic_vector(1downto0)

enddelay;

architecturea_delayofdelayis

signalQ1,Q2,Q3,Q4:std_logic;

begin

process(clk)

begin

ifclk'eventandclk=‘1'then

Q3<=Q2;

Q2<=Q1;

Q1<=input(1);

endif;

endprocess;

Q4<=notQ3;

output(1)<=input(1)andQ3;

output(0)<=input(0)andQ4;

enda_delay;

图3为原理图中的若干信号的波形仿真图。

第3篇:简述集成电路设计流程范文

关键词:EDA;数字系统;VHDLEDA技术

就是以计算机为工具,通过有关的开发软件,用VHDL硬件描述语言完成设计,自动完成编译、分割、布局和仿真等工作,用软件完成设计电子系统到硬件系统的一门技术。

1电子设计自动化技术的内容及特点

1.1电子设计自动化技术

电子设计自动化(ElectronicsDesignAutomation,EDA)是一门实现电子系统或电子产品自动设计的技术。EDA吸收了计算机科学领域的最新研究成果,以高性能的电子计算机作为工作的平台,促进电子工程的发展。所以说,EDA是电子产品和系统设计的综合技术,也是每个电子工程师都应该了解和掌握的一门技术。EDA是在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

1.2EDA技术的基本特征

EDA技术是指以计算机为工作平台,利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机自动处理完成。EDA技术的基本特征:按照“自顶向下”(Top-Down)全新设计方法,对系统进行结构设计和功能划分,系统的关键电路是用印刷电路板或者专用集成电路来实现的,然后采用硬件描述语言(HDL)对系统硬件进行功能的实现,最后用综合优化工具生成最终的理想器件。以下介绍相关的几个方面。1.2.1“自顶向下”的设计方法很长一段时间里,电子设计的思路基本就是“自底向上”的设计方法,这种设计方法就好像一块块大石头堆建起来的瓦房,不仅效率低、成本高,而且还非常容易出错,缺点显而易见。于是,人们发明了如今所用的一种全新的设计方法“自顶向下”,这种设计方法首先是系统设计,在顶层进行功能方框图的划分和结构的设计。自顶向下的设计方法使系统被分解为各个模块的集合之后,可以对设计的每个独立模块指派不同的工作小组,这些小组可以工作在不同的地点,甚至可以分属不同的单位,最后将不同的模块集成为最终的系统模型,并对其进行综合测试和评价。它较先前的“自顶向上”无论是在设计的时间上,还是过程中错误的减少,都得到了很大的提升。1.2.2ASIC设计集成电路(ApplicationSpecificIntegratedCircuit,ASIC),在集成电路界被认为是一种为专门目的而设计的集成电路。利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计与实现。ASIC分为全定制和半定制,全制定是基于晶体管设计方法,设计成本高,周期长;而半定制则是一种约束性的设计方法,其设计简化,周期短,提高了芯片的成品率;和通用的集成电路相比,ASIC的体积更小、功耗更低、性能的提升也相当高;从保密性来讲,其保密性还是相当高的,而且它还具有成本节约等优点。可编程ASIC是专用集成电路的一种,也是应用最为广泛的。可编程逻辑器件的密度高、集成度高、生产方便。1.2.3硬件描述语言硬件描述语言(HardwareDescriptionLanguage,HDL)是一种用形式化的方法来描述数字电路和系统的语言,它是EDA开发中的很重要的设计工具,也是EDA技术的重要组成部分。HDL是对电子系统硬件设计的一种高级计算机语言,用HDL语言,数字电路系统的设计可以逐层展示自己的设计思路,一些复杂的数字电路系统可以用一系列分层次的模块来表达。早期的硬件描述语言,由不同的厂商和开发商开发,彼此之间互不兼容,且不支持多层次的设计,这些层次之间的翻译工作就要由人工完成。而利用VHDL语言的可读性强,更加容易修改和发现错误。VHDL即超高速集成电路硬件描述语言,它作为IEEE标准的硬件描述语言和EDA的重要组成部分,经过十几年的发展、应用和完善,正逐渐被众多设计者所接受,这种高层次的方法已经被广泛采用。VHDL即超高速集成电路硬件描述语言,是一种面向设计的多领域、多层次的全方位的硬件描述语言,这种语言几乎覆盖了以往各种硬件描述语言的功能。VHDL具有以下几个优点:(1)强大的硬件描述能力。可以用来描述系统级电路,也可以用来描述门级电路,设计描述具有多层次。(2)支持广泛、易于修改。VHDL已经成为IEEE标准,目前,多数EDA工具都支持VHDL语言,这种高层次的方法已经被广泛采用。(3)作用强大、设计灵活。它具有作用强大的语言结构,能用简洁明了的源代码来描述复杂的逻辑控制。(4)移植能力强。它是一种标准化的硬件描述预言,同样一个设计描述可是被多种不同的工具所支持,这样就使得设计描述的移植得以实现。(5)工艺转换方便。它的设计不依赖于特定的器件,工艺转换方便。

2、EDA技术的应用

现如今,EDA技术发展迅速,已经在教学应用、科研应用、产品设计与制造等方面占据一席之地,发挥着巨大的作用。

2.1教学应用

大部分理工科院系都开设EDA课程。让学生在校期间了解EDA技术的基本原理、HDL硬件描述语言描述系统逻辑的方法,模拟仿真电子电路设计,通过实践提升学生的动手与自主能力,为今后从事的工作打下坚实的基础。

2.2科研应用

电路设计与模拟仿真主要使用EWB等工具进行,举个例子,在CDMA无线通信系统中,移动手机和无线基站都工作在相同的频率,每部手机都有自己唯一的序列码,用来区分电话的呼叫。而CDMA的BTS必须能识别这些不同的码序列才能辨别传呼进程,这是通过在输入数据流中探测到特定的码序列来完成的。

2.3产品设计与制造的应用

从电视、冰箱、音响到电子玩具等各种电子产品电路,EDA技术在模拟研制、仿真、生产、调试等方面都有着重要的作用。可以说,EDA已经成为电子工业领域必不可少的技术支持。

3、EDA技术的发展前景

当今社会,电子产品发展日新月异,为了既快又好地设计出新的电子产品,提高设计效率和产品性能,设计师需要更加简便快捷的EDA工具,这对EDA技术提出了更高的要求。

3.1EDA技术发展的新方向

3.1.1向高密度、高速度、宽频带方向发展设计方法的更新得益于电子器件的发展,随着电子产品的飞速发展,高密度、高速度和宽频带的可编程逻辑产品已经成为主流的,这些高密度、大容量的可编程逻辑器件的出现,给现代电子系统(复杂系统)的设计与实现带来了非常大的帮助。设计方法和设计效率有了新的飞跃,带来了器件的巨大需求,这种需求又促使器件生产工艺的不断进步,而每一次工艺的改进,可编程逻辑器件的规模都将有非常大扩展。3.1.2向可预测延时的方向发展现如今的大数据时代,需要处理的数据量越来越大,就需要其具有大的数据吞吐量,而且多媒体技术发展迅速,图像及影像的实时性要求较高,这就需要有高速的硬件系统。为了可以保证图像实时性及稳定性,器件的延时可预测性就是一个重要的因素。所以,逻辑器件的可预测延时是非常重要的。3.1.3向低电压、低能耗方向发展集成技术的飞速发展,工艺水平的日益提升,全世界都掀起了节能的潮流。因此,要适应时代的潮流,半导体工业也必须向低电压、降低能耗方向发展。

3.2应用前景

在信息通信领域中,需要优先发展高速宽带信息网、计算机及软件技术、第三代移动通信技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,研发新兴的产业。自动化仪表的技术发展趋势将计算机技术、通信技术进一步的融合,大力地推广信息化。在电子设计的研发中,它可以代替设计者完成电子系统设计中的绝大部分工作,而且可以直接在程序中修改错误,系统功能也不需要硬件电路的支持。随着EDA技术的发展,EDA技术具有更好的开发手段和性价比,具有广泛的市场应用前景。

3.3未来展望

从目前的EDA技术来看,其发展趋势是使用普及、应用广泛、工具多样、软件功能强大。中国EDA市场已经日趋成熟,但是大部分的设计是面向PCB制板和ASIC领域,只有小部分的设计是开发复杂的片上系统器件。EDA技术将在自动化仪表的测试技术、控制技术、计算技术等方面有较大的突破,在ASIC和PLD设计方面,以高速、高密度、低能耗、低电压等方面发展。

4、结语

EDA技术的应用十分广泛,现在已涉及电子、通信、机械、航天、医学、生物、军事等各个领域。所以无论是生活、学习、还是工作,都离不开EDA。因此,作为一名大专院校电子类专业的学生,我们应该熟练掌握EDA技术用于CPLD/FPGA的开发和知晓EDA技术在未来发展的前景,只有这样才能去适应激烈竞争的环境,在激烈的竞争环境中取得成绩。

[参考文献]

[1]杜玉远.EDA设计快速入门[J].电子世界,2004(1):24-25.

第4篇:简述集成电路设计流程范文

摘要:在简要介绍了EDA技术特点的基础—L,用EDA技术作为开发手段,实现一个数字系统的设计。系统采用了顶层图形设计思想,基于硬件描述语言AI扔L,以可编程器件为核心,具有体积小、可靠性高、灵活性强等特点。并比较了EnA技术与传统电子设计方法的差异,总结出别rA技术的优势。 

关键词:EDA 数字系统 CPLD VHDL 

电子设计的必由之路是数字化,这已成为共识。在数字化的道路上,我国的电子技术经历了一系列重大的变革。从应用小规模集成电路构成电路系统,到广泛地应用微控制器或单片机(MCU),在电子系统设计上发生了具有里程碑意义的飞跃。电子产品正在以前所未有的速度进行着革新,主要表现在大规模可编程逻辑器件的广泛应用。特别在当前,半导体工艺水平已经达到深亚微米,芯片的集成高达到干兆位,时钟频率也在向干兆赫兹以上发展,数据传输位数达到每秒几十亿次,未来集成电路技术的发展趋势将是SOC(System 0h aCh5p)片上系统。从而实现可编程片上系统芯片CPU(复杂可编程逻辑器件)和5PGA(现场可编程门阵列)必将成为今后电子系统设计的一个发展方向。所以电子设计技术发展到今天,又将面临另一次更大意义的突破,5PGA在EDA(电子设计自动化)基础上的广泛应用。

EDA技术的概念: EDA是电子设计自动化(E1echonics Des5p AM·toM60n)的缩写。由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异,所以目前尚无一个确切的定义。但从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。可以实现逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化,逻辑布局布线、逻辑仿真。完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片。EDA技术是伴随着计算机、集成电路、电子系统的设计发展起来的,至今已有30多年的历程。大致可以分为三个发展阶段。20世纪70年代的CAD(计算机辅助设计)阶段:这一阶段的主要特征是利用计算机辅助进行电路原理图编辑,PCB布同布线,使得设计师从传统高度重复繁杂的绘图劳动中解脱出来。20世纪80年代的QtE(计算机辅助工程设计)阶段:这一阶段的主要特征是以逻辑摸拟、定时分析、故障仿真、自动布局布线为核心,重点解决电路设计的功能检测等问题,使设计而能在产品制作之前预知产品的功能与性能。20吐纪如年代是EDA(电子设计自动化)阶段:这一阶段的主要特征是以高级描述语言,系统级仿真和综合技术为特点,采用“自顶向下”的设计理念,将设计前期的许多高层次设计由EDA工具来完成。EDA是电子技术设计自动化,也就是能够帮助人们设计电子电路或系统的软件工具。该工具可以在电子产品的各个设计阶段发挥作用,使设计更复杂的电路和系统成为可能。在原理图设计阶段,可以使用EDA中的仿真工具论证设计的正确性;在芯片设计阶段,可以使用EDA中的芯片设计工具设计制作芯片的版图:在电路板设计阶段,可以使用EDA中电路板设计工具设计多层电路板。特别是支持硬件描述语言的EDA工具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正确,就可以进行该数字系统的芯片设计与制造。有专家认为,21世纪将是四A技术的高速发展期,EDA技术将是对21世纪产生重大影响的十大技术之一。

EDA技术的基本特征:EDA代表了当今电子设计技术的最新发展方向,利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在汁算机上自动处理完成。设计者采用的设计方法是一种高层次的”自顶向下”的全新设计方法,这种设汁方法首先从系统设计人手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错.并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行驶证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路(ASIC)。设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避免设计工作的浪费,又减少了逻辑功能仿真的工作量,提高了设计的一次性成功率。 由于现代电子产品的复杂度和集成度的日益提高,一般分离的中小规模集成电路组合已不能满足要求,电路设计逐步地从中小规模芯片转为大规模、超大规模芯片,具有高速度、高集成度、低功耗的可编程朋IC器件已蓬勃发展起来。在EDA技术中所用的大规模、超大规模芯片被称为可编程ASIC芯片,这些可编程逻辑器件自70年代以来,经历了CPm、IzPGA 、CPLD、FPGA几个发展阶段,其中CPm(复杂可编程逻辑器件)/IzPGA(现场可编程逻辑器件)肩高密度可编程逻辑器件,目前集成度已高达200万门/片以上,它将掩模ASIC集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品研制或小批量产品开发,使产品能以最快的速度上市,而当市场扩大时,它可以很容易地转由掩模ASIC实现,因此开发风险也大为降低。可以说CPLE)/FPGA器件,已成为现代高层次电子设计方法的实现裁体。硬件描述语言(HDL)是EDA技术的重要组成部分,是EDA设计开发中的很重要的软件工具,VHDL即:超高速集成电路硬件描述语言,仍量凡是作为电子设计主流硬件的描述语言。它具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计较串和可靠性,用V佃L进行电子系统设计的一个很大的优点是设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。例如一个32位的加法器,利用图形输入软件需要输入500至1删个门,而利用VHDL语言只需要书写一行“A=B十C”即可。使用硬件描述语言(HDL)可以用模拟仿真的方式完成以前必须设计和制作好的样机上才能进行的电子电路特性的说明和调试。能在系统行为级就发现可能出现的错误、问题,并加以多次反复修改论证,避免了物理级器件的损伤和多次制作,节约了时间和开发成本,缩短了电子系统开发的周期。将EDA技术与传统电子设计方法进行比较可以看出,传统的数字系统设计只能在电路板上进行设计,是一种搭积木式的方式,使复杂电路的设计、调试十分困难;如果某一过程存在错误.查找和修改十分不便;对于集成电路设计而言,设计实现过程与具体生产工艺直接相关,因此可移植性差;只有在设计出样机或生产出芯片后才能进行实泅,因而开发产品的周期长。而电子EDA技术则有很大不同,采用

第5篇:简述集成电路设计流程范文

关键词 单片机;自动;电子测试仪

中图分类号TH7 文献标识码A 文章编号 1674-6708(2014)119-0139-02

与传统电阻测试仪相比,自动电阻测试仪具有测量精度高、读数方便、测量范围广的优点,广泛使用在工业中,本文主要讲述基于单片机的自动电阻测试仪的设计,简化了实验操作,减小了实验误差,具有非常强的实用性。

1 基于单片机的自动电阻测试仪系统设计

本设计的自动电阻测试仪主要有信号采集电路、电源电路、案件、控制电路以及LCD显示构成等,设计目标为实现常见100Ω~10MΩ的电阻的快速测量,并能够在显示器中读出测量值。A/D转换电路原理图见下图1所示,A/D转换采用德州仪器公司生产的12位串行模式转换器,节约了51系列单片机中的I/O资源,具有很好的分辨率,本身价格适中,满足设计需求。TLC2543工作过程为先在8,12或者16时钟周期里写入8位控制字决定时钟的长度,在最后一个时钟周期沿S/D转换过程,从DATAOUT进行读数,在此过程中需要注意的是分开模拟信号和数字信号,不可直接平行使用,TLC2543芯片不能布置在信号数据线的上方。

在电阻测量硬件设计中自动量程切换模式见图2所示,切换电路的通断通过利用四路测试电路进行实现,A/D采样模块通过P21-P24连接,单片机直接接通不同电平信号的过程通过程序Q1~Q4进行实现,四通电路分别接高精密电阻来作为基准电阻,精密电阻大小分别为100Ω,1KΩ,100KΩ,10MΩ。程序在待测电阻介入后采用轮询法给予电平信号。通路1导通,通路2、3、4介质,把100Ω基准电阻接入电路,在PV1处采集的信号此时为待测电阻和基准电阻的电压和,P21~P24采集的信号是待测电阻的电压,测量值之间的差值就是基准电阻的电压测量值。此时若是待测电子上的电压值小于采集到的电压值的1/2,就可以满足设计需求的量程,否则就进入到下一循环,通路2导通,其他通道截止,再次测量。

软件设计的程序主要包括主程序、键盘控制子程序以及LCD显示程序等,这些程序全部采用C语言编码,主程序的流程:开始判断油污电阻加入判断是否为一档流程判断是否为二档流程判断是够为三段流程判断是否为四段流程计算待测电阻阻值显示。

2 测试方案和结果

在对设计系统进行测试时需要多次检查,保证硬件原理图、仿真电路等都完全与原理图相一致,不能在PCB制版存在丝毫差别,保证硬件电路无虚焊。先进行硬件测试,搭接各个模块测试电源模块、A/D采集模块以及输出信号的电压稳定性,连接单路电阻测试电路和A/D采集模块测试待测电阻和基准电阻的电压值,测定电压值的精度。完成调试后整合所有模块,重新检查电路基本情况,更换不同电阻,观察控制信号的变化以及显示器上的测量值,若是测量数值不满足要求,检查基准电压、线路连接以及线路干扰等,再次测量,直到电阻测量值满足设计精度要求为止。

在软硬件联合调试中,主要采用的测量仪器包括数字万用表、稳压电源、示波器、单片机试验箱以及高精度数字毫伏表等,测试结果见下表所示。从表中可以看出设计系统能够满足设计的功能需求,测量范围非常广泛,精度设计非常高,相对误差不大于1%。在实际应用中由于测量环境、仪器以及方法等都会对策是产生影响,因此测量结果的误差会有些加大,在测试中还需要采取修正的方法减小误差。

3 结论

综上所述,本文主要讲述了基于单片机的自动电阻测试仪的设计,并对设计进行了应用试验发现本设计实现组智能化电阻测量,电路结构简单,相对误差小于1%,具有很高的精确度,在实际应用中值得大力推广。

参考文献

[1]龚成莹,何辉,兰聪花等.基于STC12C5A08AD单片机的自动电阻测试仪的设计[J].自动化与仪器仪表,2012,23(2):89-90.

第6篇:简述集成电路设计流程范文

本设计是关于一个基于fpga的液位检测系统的设计,即设计合适的传感器来对液位的信号进行采集,然后在fpga上对信号进行处理,主要是对fpga用vhdl进行编程,建立若干逻辑模块对数据进行一系列的处理,最后利用led来对信号进行输出显示。本文着重介绍了这个系统的液位检测信号采集的前端设计,前端设计包括传感器模块、放大电路模块以及a\d转换模块的设计,它是整个液位检测设计的基础,主要目的是数据的采集即如何获得高质量的液位信号,然后对其进行加工处理传送。本文介绍的前端设计能实现结构简化,系统精度较好,适用性强,具有良好的人机交互功能。

关键词:液位检测,传感器,fpga,vhdl

第一章 引言

1.1液位检测的意义

液位检测广泛用于各种行业领域,它几乎遍及生产与生活的各个领域,尤其工业生产过程如石油、化工、医药和食品等行业领域中, 液体的测量不但要求精度高,还需很好地适应工业现场的特殊环境,具有在恶劣环境下持续传感的能力, 由于液体性质物理环境的复杂性,给准确检测液位变化带来的很大的困难。故对液位测量提出了精确、实时、在线的要求。随着科学技术与生产的迅速发展,液位自动检测领域出现了种类多样的测量手段,对其经济性、技术性提出了很高的要求。

1.2液位检测的发展现状和趋势

    由于液位检测应用领域的不同,性能指标和技术要求也有差异,但适用有效的测量成为共同的发展趋势,随着电子技术及计算机技术的发展,液位检测的自动控制成为其今后的发展趋势,控制过程的自动化处理以及监控软件良好的人机界面,操作人员在监控计算机上能根据控制效果及时修运行参数,这样能有效地减少工人的疲劳和失误,提高生产过程的实时性、安全性。随着计算机控制技术应用的普及、可靠性的提高及价格的下降,液位检测的微机控制必将得到更加广泛的应用。

1.3 液位检测系统的任务和所要达到的目标

该系统功能结构主要分为五个方面:一、使用压力传感器去采集与压力相对应的电压值;二、由于本系统使用的传感器mpx53输出电压较小(毫伏级),所以输出电压必须经过放大电路放大再传送至a/d转换器;三、从a/d转换器出来后的信号变成了相应的数字信号,再将其传送给fpga芯片;四、对fpga用vhdl进行编程,建立若干逻辑模块对数据进行一系列的处理与控制(包括max197控制模块、数制转换模块以及lcd驱动模块);最后,把信号传送给lcd进行显示。液位检测功能图如图1-1所示。

 

图1- 1 液位检测功能结构图

我设计的部分是信号的采集即前端设计,其设计模块图1-2所示:其中包括传感器模块、放大电路模块、a\d转换模块的设计,设计所要达到的目标是硬件系统的结构简化,系统精度较好,适用性强,具有良好的人机交互功能,有问题就能立即发现,通过实现水位的显示以便自动调节控制液位。液位控制在设定值上正常运行不需要人工干预,操作人员劳动强度小。

 

图1- 2 前端设计模块图                               

第二章 传感器模块的设计方案

2.1传感器简介

传感器,指能感受规定的被测量并按照一定的规律转换成可用输出信号的器件或装置。根据传感器工作原理,可分为物理传感器和化学传感器二大类 :

传感器工作原理的分类物理传感器应用的是物理效应,诸如压电效应,磁致伸缩现象,离化、极化、热电、光电、磁电等效应。被测信号量的微小变化都将转换成电信号。化学传感器包括那些以化学吸附、电化学反应等现象为因果关系的传感器,被测信号量的微小变化也将转换成电信号。

而本设计的测量对象是液体,所选择的传感器能够准确反映液位的变化,所选择的传感器应该符合以上要求,我们知道压力传感器是工业实践中最为常用的一种传感器,其广泛应用于各种工业自控环境,涉及水利水电、铁路交通、智能建筑、生产自控、航空航天、军工、石化、油井、电力、船舶、机床、管道等众多行业,下面就简单介绍压阻式力传感器原理: 

电阻应变片是一种将被测件上的应变变化转换成为一种电信号的敏感器件。它是压阻式应变传感器的主要组成部分之一。电阻应变片应用最多的是金属电阻应变片和半导体应变片两种。金属电阻应变片又有丝状应变片和金属箔状应变片两种。通常是将应变片通过特殊的粘和剂紧密的粘合在产生力学应变基体上,当基体受力发生应力变化时,电阻应变片也一起产生形变,使应变片的阻值发生改变,从而使加在电阻上的电压发生变化。这种应变片在受力时产生的阻值变化通常较小,一般这种应变片都组成应变电桥,并通过后续的仪表放大器进行放大,再传输给处理电路(通常是a/d转换和cpu)显示或执行机构。

选择了合适的传感器,为了节约成本,同时保证传感器的测量精度及稳定度达到技术指定要求,需要对原始芯片进行合理的封装,实现压力传感器内部无可动部件,保证传感器抗冲击、抗震动,从而保护了内部芯片,对于mpx53d型号压力传感器芯片,对其进行封装应注意的问题是测量软管的选择,应根据芯片的端口选择合适的软管,封装时应保证其密封度。

2.2 前端设计的传感器模块的设计方案

根据以上传感器的选择原则,考虑到测量范围、量程、重复性、可靠性等因数,而本实验环境是量程较小的液体,有两种方案可供选择参考:一种方案是选用motorola公司生产的mpx53d型号压力传感器作为前端采集器件,通过液位的高低不同所产生的压力值的不同,把采集到的电压值经过放大电路的放大以后,再传送到a/d 转换器的输入通道。另一种方案是apm公司生产的 bp300t, bp300t压力传感器是专为电子医疗器械(电子电子血压计)开发的一款气体压力传感器,标称压力为5.8psi(300mmhg),采用标准的小型dip-6塑胶封装,完全代替nais adp4系列、honeywell hpx系列压力传感器。

2.2.1方案一:mpx53d型号压力传感器是一个50kpa的非补偿性硅压力传感器,封装简单,允许用户自行设计和增加外部温度补偿和通信功能。除了接受水压的一面(p1),另一面为真空的(p2),正常工作下p1>p2,两面所承受的最大压差为200ka,表1显示了mpx53d的基本特性。传感器提供了非常精确的电压输出—与压力成正比,传感器的输出方程为:

vout=voff+(v/p)×p

其中voff为偏移电压典型值约为20mv,v/p为灵敏度典型值为1.2mv/kpa,p为检测对象的压力。

 

图2-1显示了其在不同温度下的典型的输出特性。储藏温度与工作温度均在-40℃ — +125℃,mpx53d是半导体的压阻式压力传感器,能够使电信号成比例输出,衡量该传感器的应变性能要依赖温度的变化,温度变化的范围需要温度补偿装置。

 

图2-2显示了其实际与理论线性度,线性表示理想传感器的输出特性,由于种种原因实际的传感器总是非线性的,只能用线性度来表示其输出特性,有两种非线性的计算方法:终点直线拟合和最小二乘法拟合,摩托罗拉指定的压力传感器非线性的依据是终点直线法测的终端压力。

 

2.2.2方案二:bp300t压力传感器是专为电子医疗器械(电子电子血压计)开发的一款气体压力传感器,其外部结构图及内部结构原理图分别如图2-3、2-4所示: 

它具有结构简单、性能稳定、可靠性好、通用性强等优点,具有低廉的价格,替换性好等特点,其工作压力为300mmhg,能测量量程较小的气压或液体信号,其应用范围相对局限,是属于专用的一款传感器,主要适用于腕式\臂式电子血压计、医疗按摩器等需要控制气体压力的设备和器械中。该传感器的优缺点是整机结构紧凑、精度、过载倍数和可靠性高,动作误差和温度系数小,成本较低,在各种实验室及医疗中能广泛应用,但封装要求较高,容易出现封装问题而影响测量精度。

    对比以上两种方案的性能特点可知,方案一的mpx53d型号压力传感器具有良好的线性输出特性,能适用多种液体环境,结构简单,可靠性强,可操作性强且经济,能容易满足设计要求,方案二的bp300t压力传感器虽然也能满足一些性能指标,但测量量程较小,主要是测量气压信号,是属于专用的一款传感器,其应用范围相对局限,其稳定性也相对较差,故选择方案一较为合理。

第三章 液位信号的放大电路模块设计

3.1 放大电路简介

放大即为增加电信号幅度或功率的电子电路。应用放大电路实现放大的装置称为放大器。它的核心是电子有源器件,如电子管、晶体管等。为了实现放大,必须给放大器提供能量。常用的能源是直流电源,但有的放大器也利用高频电源作为泵浦源。放大作用的实质是把电源的能量转移给输出信号。输入信号的作用是控制这种转移,使放大器输出信号的变化重复或反映输入信号的变化。

现代使用最广的是以晶体管(双极型晶体管或场效应晶体管)放大电路为基础的集成放大器。大功率放大以及高频、微波的低噪声放大,常用分立晶体管放大器。高频和微波的大功率放大主要靠特殊类型的真空管,如功率三极管或四极管、磁控管、速调管、行波管以及正交场放大管等。

放大电路的前置部分或集成电路元件变质引起高频振荡产生"咝咝"声,检查各部分元件,若元件无损坏,再在磁头信号线与地间并接一个1000pf~0.047雾的电容,"咝咝"声若不消失,则需要更换集成块。在测量控制系统中,用来放大传感器输出的微弱电压,电流或电荷信号的放大电路称为测量放大电路,亦称仪用放大电路。

3.2 放大电路的主要特性指标

放大电路的性能指标是衡量它的品质优劣的标准,并决定其适用范围。这里主要讨论放大电路的输入电阻、输出电阻、增益、频率响应和非线性失真等几项主要性能指标。

(1)输入阻抗应与传感器输出阻抗相匹配;

 

 

 

(2)一定的放大倍数和稳定的增益:

放大倍数是描述一个放大电路放大能力的指标,其中电压放大倍数定义为输出电压与输入电压的变化量之比。当输入一个正弦测试电压是,也可用输出电压与输入电压的正弦向量之比来表示,即

a=u出\u入

于此类似,电流放大倍数定义为输出与输入电流的变化量之比,同样也可用二者的正弦向量之比来表示,即

a=i出\i入

需注意以上两个表达式只有在输出电压和输出电流基本上也是正弦波,即输出信号没有明显失真的情况下才有意义。

(3)低的输入失调电压和输入失调电流以及低的漂移

如前所述的放大电路模型是极为简单的模型,实际的放大电路中总是存在一些电抗性元件,如电容、电感、电子器件的极间电容以及接线电容与接线电感等。因此,放大电路的输出和输入之间的关系必然和信号频率有关。放大电路的频率响应所指的是,在输入正弦信号情况下,输出随频率连续变化的稳态响应。若考虑电抗性元件的作用和信号角频率变量,则放大电路的电压增益可表达为

由于通常有fl << fh的关系,故有bw  fh。有些放大电路的频率响应,中频区平坦部分一直延伸到直流,如图3-4所示。可以认为它是图3-3的一种特殊情况,即下限频率为零。这种放大电路称为直流(直接耦合)放大电路。现代模拟集成电路大多采用直接耦合进行放大。

(4)线性好、精度高

信号的另一种失真是由放大器件的非线性特性所引起的。放大器件包括分立器件(如半导体三极管等)和集成电路器件(如集成运算放大器等)。对于分立器件放大电路来说,电子电路设计工作者应设法使它工作在线性放大区。当要求信号的幅值较大,如多级放大电路的末级,特别是功率放大电路,非线性失真难以避免。对于集成运算放大器,通常是由正、负双电源供电,当输出信号的幅值接近双电源值时,其输出将产生非线性失真,称为饱和失真。有关上述非线性失真的细节。向放大电路输入标准的正弦波信号,可以测定输出信号的非线线失真,并用下面定义的非线性失真的系数来衡量。

放大电路除上述几种主要性能指标外,针对不同用途的电路,还常会提出一些其指标,诸如最大输出功率、效率、信号噪声比、抗干扰能力等等,甚至在某些特殊使用场合还会提出体积、重量、工作温度、环境温度等要求。其中有些在通常条件下很容易达到的技术指标,但在特殊条件下往往就变得很难达到,如强背景噪声、高温等恶劣环境下运行,即属这种情况。要想全面达到应用中所要求的性能指标,除合理设计电路外,还要靠选择高质量的元器件及高水平的制造工艺来保证。

3.3放大电路模块设计方案一

由于传感器的信号较小,需要适当放大,考虑到设计的经济性及环境要求,用三极管实现放大,选择共射电路就能实现电压和电流的放大,且输入电阻和输出电阻比较适中,如何测量输入输出电阻成为放大电路的关键。

要测一个放大电路的输入电阻,本来只要测出输入电压ui和输入电流ii,那么输入电阻ri=ui/ii。 但是我们实验室里没有测量微小交流电流的《交流微安表》,只有测量微小电压的交流毫伏表,为了将这个电流量转换成电压,于是在输入电路中串联了一个电阻r,这个r的大小应当和输入电阻的大小相当。这样,输入电流ii=(us-ui)/r,在这里,us是信号源输出电压,ui是放大电路输入端得到的电压,只要测出这两个电压,就可求出输入电阻了。

其具体步骤如下:第一步,不串电阻,在放大电路输入端接入信号源电压u1,在放大电路输出端接示波器观察输出电压uo;第二步,在输入电路中串入适当已知阻值的电阻r,这时在示波器上看到的波形将明显变小,调整(增大)信号源输出,使示波器上的输出波形达到原来的uo大小,(这时输入端的电压还是u1),再测量这时的信号源输出电压u2,(由于信号源内阻很小,不会产生感应电压),u2与u1的差就是r上的压降。输入电流ii=(u2-u1)/r,电路的输入电阻ri=u1/ii=u1*r/(u2-u1) 。

此放大电路的设计方案图如图3-5所示:  

3.3.1 方案一的原理论证

     此设计适合于传感器的单端输出,由三极管的特性可知,要使npn处于放大状态,则射极正偏,集电结反偏,三极管的简单工作电路如图3-6所示:

 

具体运用于信号放大时,使用单管共射就能实现电压或电流信号的放大,整个设计的工作原理图见附录3。

    放大电路工作原理计算论证:

    由于传感器的信号不稳定,容易失真,因此放大电路前端采用射极跟随器,同样用三极管来实现,电压跟随器的电压放大倍数恒小于且接近1。电压跟随器的显著特点就是,输入阻抗高,而输出阻抗低,一般来说,输入阻抗要达到几兆欧姆是很容易做到的。输出阻抗低,通常可以到几欧姆,甚至更低。

在电路中,电压跟随器一般做缓冲级及隔离级。因为,电压放大器的输出阻抗一般比较高,通常在几千欧到几十千欧,如果后级的输入阻抗比较小,那么信号就会有相当的部分损耗在前级的输出电阻中。在这个时候,就需要电压跟随器来从中进行缓冲。起到承上启下的作用。应用电压跟随器的另外一个好处就是,提高了输入阻抗,这样,输入电容的容量可以大幅度减小,为应用高品质的电容提供了前提保证。

电压跟随器的另外一个作用就是隔离,在hi-fi电路中,关于负反馈的争议已经很久了,其实,如果真的没有负反馈的作用,相信绝大多数的放大电路是不能很好的工作的。但是由于引入了大环路负反馈电路,扬声器的反电动势就会通过反馈电路,与输入信号叠加。造成音质模糊,清晰度下降,所以,有一部分功放的末级采用了无大环路负反馈的电路,试图通过断开负反馈回路来消除大环路负反馈的带来的弊端。但是,由于放大器的末级的工作电流变化很大,其失真度很难保证。共集电路时输入高阻抗,输出低阻抗,这就使得它在电路中可以起到阻抗匹配的作用,能够使得后一级的放大电路更好的工作。其电路原理图3-7设计如下:

 

射极跟随加单管共射电路能实现传感器的放大功能,该电路设计简单、明了、经济,但由于ube=0.6-0.8v才能实现正向偏置,集电极反偏,因此要求外界的供电电压有一定的要求,对传感器的选择对其电压相对局限,此外,还需要与电路匹配的电容。

3.4 放大电路模块设计方案二

    如果传感器是对采集的信号进行比较的双输出,则直接选用集成放大器进行放大,把双输入转化为单输出,同样应注意到电压信号的跟随问题。其设计电路图3-8如下:

 

在差分比例运算电路中, r1、r2、 r3和r4电阻必需采用高密度电阻,并要精确匹配,否则将产生较大误差,而且降低电路的共模抑制比。

综上可知,两方案都能实现信号简单放大,两方案的性价比也不多,根据设计要求,传感器采集的信号较小,而且考虑到信号的稳定性问题,方案二对电阻要求不高,只要能满足电路匹配的电阻就可以实现,也不需要电容,故选择方案二作为设计方案。 

第四章:  a/d转换器模块的设计

a/d转换器用以实现模拟量向数字量的转换,常用的a/d转换器是逐次逼近式和双积分式,其中adc0808/0809是采样频率为8位的、以逐次逼近原理进行模—数转换的器件。其内部有一个8通道多路开关,它可以根据地址码锁存译码后的信号,只选通8路模拟输入信号中的一个进行a/d转换。

4.1 adc0809介绍

4.1.1 adc0809主要特性

    主要特性如下:

1)8路8位a/d转换器,即分辨率8位。

2)具有转换起停控制端。

3)转换时间为100μs

4)单个+5v电源供电

5)模拟输入电压范围0~+5v,不需零点和满刻度校准。

6)工作温度范围为-40~+85摄氏度

7)低功耗,约15mw。

adc0809是cmos单片型逐次逼近式a/d转换器,内部结构如下图4-1所示,它由8路模拟开关、地址锁存与译码器、比较器、8位开关树型d/a转换器、逐次逼近 。

4.1.2 adc0809外部特性(引脚功能)

adc0809芯片有28条引脚,采用双列直插式封装,如图4-2所示。下面说明各引脚功能。

in0~in7:8路模拟量输入端。

2-1~2-8:8位数字量输出端。

adda、addb、addc:3位地址输入线,用于选通8路模拟输入中的一路

ale:地址锁存允许信号,输入,高电平有效。

ale为地址锁存允许输入线,高电平有效。当ale线为高电平时,地址锁存与译码器将a,b,c三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量进转换器进行转换。a,b和c为地址输入线,用于选通in0-in7上的一路模拟量输入。通道选择表如下表2所示。

表2 通道选择表

数字量输出及控制线:11条

地址输入和控制线:4条

start: a/d转换启动信号,输入,高电平有效。

st为转换启动信号。当st上跳沿时,所有内部寄存器清零;下跳沿时,开始进行a/d转换;在转换期间,st应保持低电平。eoc为转换结束信号。当eoc为高电平时,表明转换结束;否则,表明正在进行a/d转换。oe为输出允许信号,用于控制三条输出锁存器向单片机输出转换得到的数据。oe=1,输出转换得到的数据;oe=0,输出数据线呈高阻状态。d7-d0为数字量输出线。

(以上两种信号用于启动a/d转换)。

eoc: a/d转换结束信号,输出,当a/d转换结束时,此端输出一个高电平(转换期间一直为低电平)。

oe:数据输出允许信号,输入,高电平有效。当a/d转换结束时,此端输入一个高电平,才能打开输出三态门,输出数字量。

clk:时钟脉冲输入端。要求时钟频率不高于640khz。clk为时钟输入信号线。因adc0809的内部没有时钟电路,所需时钟信号必须由外界提供,通常使用频率为500kh。

vcc:电源,单一+5v。

gnd:地。

d7-d0:8位数字量输出引脚。

ref(+):参考电压正端。

ref(-):参考电压负端。

4.2 adc0809硬件及

确定了传感器模块方案和放大电路模块方案后,把各个模块的硬件按照原理图连接起来,其中a/d0809与fpga的硬件连接原理图如图4-3所示,其中通道in0 in7通过a,b和c为地址输入线来选择。

 

具体传送时,首先输入3位地址,并使ale=1,将地址存入地址锁存器中。此地址经译码选通8路模拟输入之一到比较器。start上升沿将逐次逼近寄存器复位。下降沿启动 a/d转换,之后eoc输出信号变低,指示转换正在进行。直到a/d转换完成,eoc变为高电平,指示a/d转换结束,结果数据已存入锁存器,这个信号可用作中断申请。当oe输入高电平 时,输出三态门打开,转换结果的数字量输出到数据总线上。进行a/d转换时,采用查询eoc的标志信号来检测a/d转换是否完毕,若完毕则把数据通过p0端口读入,经过数据处理之后在数码管上显示。 进行a/d转换之前,要启动转换的方法,abc=000选择第一通道,st=0,st=1,st=0产生启动转换的正脉冲信号。

4.3  adc0809控制的vhdl程序设计

4.3.1 vhdl语言概述

 vhdl主要用于描述数字系统的结构、行为、功能和接口,将一项工程设计,或称设计实体,可以是一个元件、一个电路模块或一个系统,分成外部和内部,即设计实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就直接调用这个实体。vhdl具有较强的行为描述能力,决定了它成为系统设计领域最佳的硬件描述语言,此外,它既是一种硬件电路描述和设计语言也是一种标准的网表格式,还是一种仿真语言。

4.3.2  adc0809的转换程序流程

首先数据传送时信号单极性,电压范围是0-5v,若信号太小,必须进行放大,输入的模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。a/d转换后得到的数据应及时传送给fpga芯片进行处理。数据传送的关键问题是确认a/d转换的完成,因为只有确认完成后,才能进行传送。a/d转换器的主要程序是主控程序和时序程序,时序程序为主控程序提供时钟信号,主控程序控制程序的进程。工作时序图见附录2,其具体工作流程如下:

(1)adc0809内部带有输出锁存器,可以与fpga芯片直接相连。

(2)初始化时,使st和oe信号全为低电平。

(3)送要转换的哪一通道的地址到a,b,c端口上。

(4)在st端给出一个至少有100ns宽的正脉冲信号。

(5)是否转换完毕,我们根据eoc信号来判断。

(6)当eoc变为高电平时,这时给oe为高电平,转换的数据就输出给fpga芯片了。

转换程序流程图如图4-4所示:

主控程序代码段为:

com:process(current_state,eoc)               --规定各种状态的转换方式

begin

     case current_state is

     when st0=>next_state<=st1;ale<='0';start<='0';en<='0';

     when st1=>next_state<=st2;ale<='1';start<='0';en<='0';

     when st2=>next_state<=st3;ale<='0';start<='1';en<='0';

     when st3=> ale<='0';start<='0';en<='0';

           if eoc='1' then next_state<=st3;     --检测eoc的下降沿

           else next_state<=st4;

           end if;   

     when st4=>ale<='0';start<='0';en<='0';

           if eoc='0' then next_state<=st4;       --检测eoc的上升沿

else next_state<=st5;

end if;

     when st5=>next_state<=st6;ale<='0';start<='0';en<='1';

     when st6=>next_state<=st0;ale<='0';start<='0';en<='1';regl<=d;

     when others=> next_state<=st0;ale<='0';start<='0';en<='0';

     end case;

end process;

转化时序代码段为:

clock:process(clk)       --对系统时钟进行分频,得到adc0809转换工作时钟

begin

if clk'event and clk='1' then qq<=qq+ --在clk1的上升沿,转换至下一状态

if qq="01111111" then clk1<='1'; current_state <=next_state;   

     els if qq<="01111111" then clk1<='0';

       end if; 

end if;

end process;

q<=regl;     abc_out<=abc_in; 

end behav; 

4.4 ad0809控制程序调试

4.4.1 fpga开发板

现场可编程门阵列fpga(fieldprogrammable gate array)是美国xilinx公司于1984年首先开发的一种通用型用户可编程器件。fpga既具有门阵列器件的高集成度和通用性,又有可编程逻辑器件用户可编程的灵活性。

fpga由可编程逻辑单元阵列、布线资源和可编程的i/o单元阵列构成,一个fpga包含丰富的逻辑门、寄存器和i/o资源。一片fpga芯片就可以实现数百片甚至更多个标准数字集成电路所实现的系统。fpga开发板结构图如图4-5所示:

fpga的结构灵活,其逻辑单元、可编程内部连线和i/o单元都可以由用户编程,可以实现任何逻辑功能,满足各种设计需求。其速度快,功耗低,通用性强,特别适用于复杂系统的设计。使用fpga还可以实现动态配置、在线系统重构(可以在系统运行的不同时刻,按需要改变电路的功能,使系统具备多种空间相关或时间相关的任务)及硬件软化、软件硬化等功能。

4.4.2 调试程序

建立工程主要步骤如下:

1)制定工程名称

单击“file”菜单下的“new project wizard…”命令。弹出如图 4-6所示的对话框,在此对话框中自顶向下分别输入新工程的文件夹名、工程名和顶层实体的名字,工程名要和顶层实体名相同。本设计中建立的工程名称为“and_1”

2)选择需要加入的文件和库

 单击图4-6中的“next”按钮,此时,如果文件夹不存在的话,系统会提示用户是否创建该文件夹,选择“yes”按钮后会自动创建。接下来弹出图4-7所示的对话框。如果此设计中包括其他设计文件,可以再“file name”的下拉菜单中选择文件,或者单击“add all”按钮加入在该目录下的所有文件,如果需要用户自定义的库,单击“user libraries…”按钮进行选择,本例中没有需要添加的文件和库,直接单击“next”按钮即可。

   

3)选择目标器件

在弹出的对话框中选择目标器件,如图4-8所示。在“target debice”选项下选择“auto device selected by the fitter”选项,系统会自动给所设计的文件分配一个器件;如果选择“specific device selected in ‘available device’ list”选项,用户需要指定目标器件。在右侧的“filtera”选项下,选择器件的封装类型(package)、引脚数量(pin count)和速度等级(speed grade)以便快速查找用户需要指定的器件。

  5)结束设置

单击图4-8中的“next”按钮后进入最后确认的对话框,从图中可以看到建立的工程名称,选择的器件和选择的第三方工具等信息,如果无误的话,单击“finish”按钮,出现如图4-9的窗口,在资源管理窗口中可以看到新建的名称“and_1”工程。

  建立文本文件并进行编译:

在图4-4中,单击“file”菜单下的“new”命令,弹出新建对话框,如图4-10所示。在“eevice design files”页面中共有6种编辑方式,分别对应着不同的编辑器。双击“vhdl file”选项后建立文本成功,如图4-11所示。

 

 

以a/d控制程序的模块为例,将程序添加到编辑器中,如图4-12所示。然后单击编辑按钮 进行编译。编译成功后将出现如图4-13所示窗口。

 

第五章:全文总结与展望

在本设计中介绍了fpga的液位检测信号采集的前端设计,前端设计包括传感器模块、放大电路模块、a\d转换模块的设计,其硬软件组成如下:

硬件组成及其环境:首先具备测量所需的液体,测量液位的传感器,组成跟随器、放大电路所需的元器件, a\d转换器,搭建上述器件的电路板以及连接的导线,外部电源等等;软件组成主要是a\d转换器的程序控制。

前端设计的主线是信号的获得、放大及转换,由于传感器的信号较小,需要适当放大,考虑到设计的经济性及环境要求,传感器的设计尤为重要,本设计采用的motorola公司生产的mpx53d型号压力传感器就较为合适。放大电路有两个方案可供选择,相对其优缺点,方案二较为合理,a\d转换器可供选择的型号也较多,adc0816/0808/0809型都是8位mos型a\d转换器,工作原理都大体相同,为了使设计简单化,接线清晰明了,本设计采用adc0809。

本设计通过对前端设计的各模块方案的论证,并选择了合适可行的方案,能很好的实现了液位检测信号的采集,且设计成本较少,简单易行。满足了液位检测系统的主要特性:

1. 本系统具有多功能具有多选择性(液位、流速等;输入电压范围)

2. 采用先进的cpld/fpga技术,提高了设计速度,缩短了设计周期,更为明显的是了设计的灵活性增强,提高了工作效率。

3. 采用数字化、集成化和模块化设计,提高系统的可靠性及可维护性。

液位检测涉及工业生产各个领域,根据工作环境的不同,所采用的检测仪器也多种多样.随着微电子技术和计算机技术的不断发展,液位检测仪趋向于微型化、智能化和虚拟化。

 

 

参考文献

[1] 赵继文.传感器与应用电路设计[m].北京:科学出版社,2002.

 [2] 沈聿农.传感器及应用技术[m].北京:化学工业出版社,2001.

[3] 郁有文.传感器原理及工程应用[m].西安:西安电子科技大学出版社,2001.

[4] 严钟豪,谭祖根.非电量电测技术[m].北京:机械工业出版社,2001.

[5] 周乐挺. 传感器与检测技术.北京:机械工业出版社,2005.

[6] 贾培军,常艳玲; 差分放大电路的实验方案探究 [j];延安大学学报(自然科学版); 2006年01期;

[7] 张正伟.传感器原理与应用[m].北京:中央广播电视大学出版社,1991.

[8] 湖北 叶启明; 多功能、高性能模数转换器adc10080 [n];电子报; 2006年

[9] 宋文绪,杨 帆.自动检测技术[m].北京:高等教育出版社,2000.

[10] 贾伯年,俞朴,传感器技术[m].南京:东南大学出版社,1990.

[11] 任德官主编.过程控制系统微机接口技术.东南大学出版社,1992

[12] 王家桢等.传感器与变送器[m].北京:清华大学出版社,1996.

[13] 高晓蓉.传感器技术.成都:西南交通大学出版社,2003.

[14] 于凌宇. 当代传感器新技术应用与展望[j]传感器世界, 1998,(11) .

[15]皮广禄. 国外传感器技术的现状与发展[j]传感器世界, 1998,(12) .

[16] 武昌俊. 自动检测技术及应用.北京:机械工业出版社,2005.

[17] 李广弟,朱月秀,王秀山.单片机基础.北京:北京航空航天大学出版社,2001.7

 

附录                   

1. adc0809工作时序图

 

第7篇:简述集成电路设计流程范文

关键词EDA技术;硬件基础课;教学改革

中图分类号:G642文献标识码:B

1引言

在计算机科学技术飞速发展的今天,EDA技术带来了全新的硬件设计理念与结构体系,其应用领域越来越广泛。欧美国家工科大学普遍要求计算机专业学生必须掌握一种硬件描述语言,国家教委指导委员会提议:“EDA技术是电子技术类教学改革的重要方向”。作为计算机学科的硬件基础课程,“电路与电子学”、“数字逻辑与数字系统”的教学内容,应有意识地将EDA技术和PLD器件纳为教学计划,将EDA工具贯穿整个教学过程,这样才能保证教学内容紧跟前沿技术的发展,有利于培养学生创新能力与综合素质。

2重构教学内容

EDA技术分为三个层次,如图1所示。将EDA技术引入教学内容时,必须按照此层次循序渐进,与之相应的课程体系结构如图2所示。当完成该课程体系的学习及相关实验后,学生应该能掌握现代计算机系统设计原理和方法,具备熟练应用相关软硬件工具的能力。要在学时不变甚至减少的硬件基础课教学中引入EDA技术,就必须根据专业学科的特点,在传统内容与新技术、理论教学与课堂实践中进行优化侧重,对教学内容进行重构。

(1) 重构“电路与电子学”(大一下学期)。

计算机专业“电路与电子学”教学内容的广度、难度可以比电子工程专业稍弱,但如果加大EDA的教学内容,则同样可以提升学生的电路设计能力。因此,课堂教学删除了部分传统内容,去掉了支路电流法、回路电流法、三相电路、半导体器件导电原理、反馈的方框图计算法;精简了阻容耦合放大电路(包括多级放大)、小信号动态图解法、差分电路分析等内容。

根据专业特点,相应加强了直接耦合放大电路、电流源电路和系统稳定性的教学内容。此外,增加了20学时的Multisim仿真及电路设计实验课,学生在课余时间完成设计和仿真验证,在有限的实验室课时内只进行硬件的接线、调试工作,这样既节约了课时,又提高了学生的动手能力。EDA技术与传统硬件实验相结合,提供了一种全新的实验教学体系。通过训练,学生基本掌握了设计-仿真D实际的现代模拟电路设计方法,为下一步可编程逻辑器件的学习打下基础。

(2) 重构“数字逻辑与数字系统”(大二上学期)

随着技术的进步,90%以上电子产品采用了数字技术,这个领域的一般教科书都因摩尔定律而缩短了它的适用期。因此,“数字逻辑与数字系统”课程必须舍弃一些陈旧内容用以讲授新知识、新方法。课堂教学删除了门电路、555电路、中规模集成芯片和触发器的内部电路、状态化简、编码技巧、异步时序、可编程器件PLA、PAL、GAL应用等内容;精简了卡诺图和布尔代数化简等传统手工设计方法。

教学中始终强调外部逻辑功能和时序特性的描述与分析,突出EDA技术和数字逻辑功能模块的设计,增加了数字系统的设计与优化、可编程逻辑器件CPLD/FPGA的原理与应用、有限状态机理论等内容。在教学中以逻辑代数与VHDL语言并行为基础,依据整体“自顶向下”,细节“自底向上”的教学模式。整个课程中的用例多取自于计算机基本逻辑部件,如译码器、运算器、移位器、计数器,直到存储器、控制器,最后给出数字系统的实例DCPU的逻辑构成。通过该课程的学习,初步建立了CPU的设计理念,为将来“组成原理与结构”、硬核嵌入式系统(ARM、MIPS)、软核嵌入式系统(NiosII)的学习奠定基础。

为巩固基础知识,实践教学首先安排中小规模集成芯片的应用性实验,而不是简单验证,如用三态门构成总线,用译码器设计端口寻址电路,用74LS163、74LS148及七段发光二极管实现计数显示电路等。之后,安排基于EDA技术的逻辑功能模块的设计,如用FPGA/CPLD实现译码器、加法器、计数器监视电路等。为进一步培养学生的实践能力和创新能力,在大二结束的小学期里,安排有三周的课程设计,要求用EDA技术完成五个综合性实验,包括频率计、电子钟、键盘扫描电路、交通信号灯控制器和数字音乐播放器。实践课都是开放式的实验环境,可自主选择器件,实现设计方案,改变了计算机硬件基础课“按图接线、查线排故、测看结果”的实验过程。此外,严格的实验验收制度及考核标准,如考核工具是否熟练使用,设计方案是否灵活多变等,都有效减少了设计抄袭现象的发生。

3EDA教学点设置

EDA技术所涉及的内容较多,对于计算机专业的学生,学习掌握软件工具的能力很强,EDA工具的使用只需要给学生相关内容的PPT即可,不用额外花费课时讲解。但是,如何根据专业学科特点,在有限的课堂教学中将EDA技术合理切入到理论教学中,使两者有机地融为一体却是至关重要的。

(1)Pspice/Multisim仿真平台切入到“电路与电子学”课程

通常,在理论教学完成一段时间后才会有相应的实验教学,这样导致学生当时在课堂上被激发出的兴趣及灵感逐渐消退。在“电路与电子学”课堂中及时切入EDA仿真平台,既可以增加学生对知识的感性认识,活跃课堂气氛,又能够将教学中的难点用直观的图形和曲线表述,降低教学难度,加深对理论概念的理解,起到了事半功倍的作用。在该课程中,仿真平台的切入点有以下四处:

第一,在直流分析中通过EDA仿真平台确定戴维南等效电路。首次介绍Pspice/Multisim时,应当让学生对仿真软件产生极大的兴趣,可以在讲仿真的前一次课中埋下伏笔,布置一道有难度的课后习题,使学生先进行分析。上课时使用EDA仿真,当瞬间分析出戴维南等效电阻和端口开路电压时,学生们都非常惊奇。此后,当遇到难题,或对答案产生疑问时,利用EDA工具仿真就成为了习惯。当然,仿真软件绝不能代替理论分析,要求作业中必须有分析步骤就可以保证这一点。

第二,根据我校的特色,考虑到通信系统中谐振电路的重要性,在交流分析中,仿真了谐振频率特性曲线,帮助学生理解掌握品质因数、带宽、谐振频率三者之间的关系。

第三,在放大电路一章中,利用EDA仿真软件易于改变电路参数值的特点,观察电路在不同静态工作点下产生的非线性失真。实践证明,虽然教学内容精简了小信号动态图解法的理论分析,但学生依然能很清楚地掌握电路参数的变化对放大性能的影响。

第四,仿真RC正弦波振荡器电路,观察输出由小到大起振并稳定在某一幅值的全过程,观察反馈电阻Rf对输出波形的影响。若Rf值稍大,电路不起振或振幅较小;Rf值稍小,则出现非线性失真。这种直观的图形加深了学生对信号发生电路的理解和对运放构成的非线性应用的认识。

(2)VHDL/QuartusII 切入“数字逻辑与数字系统”课程

第8篇:简述集成电路设计流程范文

1、课程目标

使学生具备本专业的高素质技术应用型人才所必需的电子电路逻辑设计基本知识和灵活应用常用数字集成电路实现逻辑功能的基本技能;为学生全面掌握电子设计技术和技能,提高综合素质,增强职业变化的适应能力和继续学习能力打下一定基础;通过项目的引导与实现,培养学生团结协作、敬业爱岗和吃苦耐劳的品德和良好职业道德观。本课程目标具体包括知识目标、能力目标和素质目标。

(1)知识目标:熟悉数字电子技术的基本概念、术语,熟悉逻辑代数基本定律和逻辑函数化简;掌握门电路及触发器的逻辑功能和外特性;掌握常用组合逻辑电路和时序电路的功能及分析方法,学会一般组合逻辑电路的设计方法(用SSI和MSI器件),学会同步计数器的设计方法;熟悉脉冲波形产生与变换电路的工作原理及其应用;了解A/D,D/A电路及半导体存储器、PLA器件的原理及其应用。

(2)能力目标:具有正确使用脉冲信号发生器、示波器等实验仪器的能力;具有查阅手册合理选用大、中、小规模数字集成电路组件的能力;具有用逻辑思维方法分析常用数字电路逻辑功能的能力;具有数字电路设计初步的能力。

(3)素质目标:培养学生学习数字电路的兴趣;培养学生团结合作的意识,培养学生自己查找资料能力。

2、课程定位

《逻辑设计》是计算机应用技术专业和电子信息类专业的一门重要硬件基础课,其理论性和实践性很强,尤其强调工程应用。是现代电子技术、计算机硬件电路、通信电路、信息与自动化技术的和集成电路设计的基础。在高速发展的电子产业中数字电路具有较简单又容易集成。通过本课程学习,熟悉小中大规模数字集成电路分析与应用,突出数字电子技术应用性,获得数字电子技术必要的基本理论基本知识和基本技能;了解数字电子技术的应用和发展概况,为后继课程及从事相关工程技术工作和科研与设计工作打下一定基础。《逻辑设计》在电子信息专业课程的地位,表现在其先导课程为《电工电子技术》,要求学生掌握由分立元器件组成的电子电路的识别与检测、与基本分析方法,掌握有关晶体管以及晶体管电路的分析方法等;其后续课程有《微机原理与接口技术》、《单片机技术应用》、《EDA技术应用》等。学习集成电路芯片在计算机及相关电子设备中的应用与作用。

二、逻辑设计课程教学内容

1、教学内容选取依据

(1)以培养高素质技能型人才为目标,教学内容选择与组织突出“以能力为本位,以职业实践为主线,以项目主体--任务贯穿”为总体设计要求,在内容的选取上,首先立足于打好基础。在确保基本概念、基本原理和基本教学方法的前提下,简化集成电路内部结构和工作原理的讲述,减少小规模集成电路的内容,尽可能多地介绍中大规模集成电路及其应用。以能力培养为主线,以应用为目的,突出思路与方法阐述,力求反映当今数字电子技术的新发展。

(2)在教材内容编排上精心组合,深入浅出,做到概念清晰,逻辑设计思想严谨。教学实施中注重重点突出,层次分明,相互衔接,逻辑性强,以利于教学做一体化的整合。在讲义上力求简洁流畅,通俗易懂,便于学生自学。

(3)以实训项目为载体,采取任务驱动教学做一体化的实施,体现理论指导实践,实践深化理论的素质养成目的。

(4)依据各学习项目的内容总量以及在该门课程中的地位分配各学习项目的课时数。

(5)知识学习程度用语主要使用“了解”、“理解”、“能”或“会”等用来表述。“了解”用于表述事实性知识的学习程度,“理解”用于表述原理性知识的学习程度,“能”或“会”用于表述技能的学习程度。

2、教学具体内容安排

表决器电路设计与制作,抢答器电路设计与制作,同步计数器电路设计与制作,方波发生器电路设计与制作,数字钟电路设计与制作。

三、逻辑设计课程教学模式与手段

1、教材编写

教材编写体现项目课程的特色与设计思想,教材内容体现先进性、实用性,典型产品的选取科学,体现地区产业特点,具有可操作性。呈现方式图文并茂,文字表述规范、正确、科学。

2、教学模式

采取项目教学,以工作任务为出发点来激发学生的学习兴趣,教学过程中要注重创设教育情境,采取“教学做”一体化的教学模式,将知识、能力、素质的培养紧密结合,进一步加强职业教育教学改革研究,优化完善我校应用型人才培养体系。

3、教学方法

从教学手段、教案设计、教学思路、语言表述、教学资源等方面着手,对如何在课堂教学中提高学生的学习主动性和兴趣开展教研。教学过程有进行项目引导,任务贯穿,“提出问题”、“引导思考”、“假设结论”、“探索求证”,把握课程的进度,活跃课堂气氛,使大多数学生能够获得尽可能大的收获。采用“发现法”教学方式,使学生建立科学的思维方法与创新意识。学习内容的掌握依赖于学习者的实践,课程组加强了对教师教学及学生学习过程的管理;为使学生理解和有效掌握课程内容,在坚持课外习题练习、辅导答疑等教学环节的基础上,增加随堂练习、单元测验等即时性练习环节,督促学生复习和掌握已学知识点。

4、教学手段

充分利用挂图、投影、多媒体等现代化手段,发挥网络突破空间距离限制的优势,让学生能够最大限度的利用学习资源,自主地学习和提高,弥补课堂上未能及时消化吸收的部分内容。教学过程中相应教学班成立课程提高学习小组,任课教师课外指导该小组进行拓展学习及课外科技活动指导,达到因材施教的目的;一方面教师指导有兴趣能力强的学生进行课外学习,特别是对数字系统设计知识的答疑指导,为能力强的学生提供发展空间,解决因课时数限制而无法在课堂上深入讲授特定工程应用专题的矛盾。也加强了教师与学生的互动,教师可以第一手了解学生对教学过程的反馈,改进教学方法,利用学习好的学生带动整个班级的学习,促进良好班风学风的形成。探讨当前教学环境下,培养学生课外学习能力的新模式。

第9篇:简述集成电路设计流程范文

[关键词]独立学院 课程 教学方法

[中图分类号]G642 [文献标识码]A [文章编号]1009-5349(2016)20-0207-02

独立学院是指普通高校根据教育部《关于规范并加强普通高校以外的机制和模式试办独立学院管理的若干意见》的规定,与之以外的其他社会组织、团体或个人合作,自筹经费并举办的具有本科层次、实施本科高等教育的学院。它是普通高校的重要组成部分,又与普通高校不同,有其独特性。独立学院介于研究型大学与应用型职校之间,培养的人才既不是研究型设计人员,又不是完全应用型技工,应定位于本科应用复合型专用人才。如果简单沿用普通高校的培养方案、教育模式和教学方法,就达不到我们预期的培养目标。针对生源特点,以保障独立学院教育教学质量的提高、保障独立学院本科教育的基本要求,培养出具有独立学院特色的本科应用复合型专业人才,研究探索适合独立学院本科教学的教学方法,势在必行。本文就电子类、光电类专业的电子技术基础课程的教学方法进行探讨。

一、精学教学内容

《电子技术基础》课程是理论性和实践性都很强的一门学科,是电子专业、光电专业的一门重要专业基础课程,教学大纲给出的课时越来越少。如何上好这门课程,使学生打好专业基础,首要的就是在完成教学大纲要求的前提下,新选讲课内容,做到内容丰富、结构合理、概念清晰、条理清楚,在原有经典知识基础上,适当增加新器件、新知识。

电子技术基础主要分为模拟电子和数字电子两大模块。每一模块以基本部件为基础要素,再由基本部件构成的各种电能为重点进行以分析。模电的基本部件就是二极管、三极管、场效应管等。每个部件应该简化内部结构等的讲述,重点介绍外部特性、参数、检测、应用等。数电的基本部件就是各种基本逻辑门,各种存储器、触发器等。同理,应该简化内部电路结构的讲述,而重点讲解逻辑门和触发器的逻辑符号、逻辑关系。以基本部件为核心,可构成各式各样的电路。例如,由二极管可构成整流、限幅、钳位、检波、开关等电路;由三极管(场效应管)可构成各种放大器(低频、高频、功率差分),由门电路可构成各种组合逻辑电路;由触发器可构成各种时序电路等等,对这些电路不必一一讲解,要节选内容。重点讲解,通过典型电能,教会学生分析思路和方法,做到举一反三,典型经典电路要求学生记熟,整个教学过程要贯穿分析和设计两条主线。典型、经典电路一见就知道是什么电路、有什么功能;一般电路能够分析出功能,学会分析方法;给定要求,能够设计出相应的电路。随着科学技术的发展,新器件不断涌现,集成化的程度不断提高。过去很多分立元件构成的电路现今已由集成电路取代。应重点讲解集成电路,而对分立元件构成的电路可少讲甚至不讲。例如,分立原件的桥式整流已由桥堆代替;分立元件功放已由集成功放代替(大功率放大器除外);集成运放可实路许多分立元件放大器的功能;分立元件的直流稳压电源由集成三端稳压器取代;而教学电路的集成化更高,集成逻辑门、集成触发器、集成计数器等,这些是我们的需要重点介绍的,这也是知识更新的要求。对集成电路的讲解,要简化内部结构和工作原理,甚至可不讲解。重点介绍集成电路的功能、引物功能、参数、使用,以及如何查找相应的集成电路。

二、灵活的教法,激发学习兴趣

提出问题,引发学习兴趣。结合教学内容,从日常生活和常见电器设备中提出问题,用“为什么”启发学习求知欲,是引发学生学习兴趣最有效的方法。例如:由走廊延时灯可以引起学生对声控、光控、定时电路的求知欲;由于机立电器,可引起学生对直流稳压电源的比较器电路的求知欲;由电脑小音箱和广场舞用的音响功率扩音器引起学生对学习低频放大器、功率放大器、仿真放大器等的求知欲望;由交叉路口数字变化信导灯引起学生对数码显示、计数器电路的求知欲……

演示教学,争强感性认识。演示是教师利用各种教具、实物或示范试验,使学生获得相关知识的感性认识最直接的教学方法,运用得当,可得到事半功倍的效果。电子技术基础课程演示教学,一是讲电路基本部件(二极管、三极管,场效应学、门电路、触发器等)时拿相应实物让学生观看,增加感性知识,然后再讲解器件结构、原理、性能、使用等;二是讲具体电路r能做相应电路,对原理、电路功能进行验证。元件参数变化对电路状态影响变化直观表现。效果是明显的,既增加学生学习兴趣,又加深了学生对电路原理的理解。例如三极管对电流的控制演示、集成三端稳压器稳压的演示、集成功率放大器电路的演示、集成计数器计数电路的演示、555定时器构成定时电路和方波产生器电路的演示等。

精选设计课程,提高综合能力。教学的最终目的,是学生对电路综合能力的提高。可在单元电路模块结束后,给学生精选设计课题,由学生用已学知识完成电路设计,一方面是所学知识的综合利用和检验,另一方面当学生完成电路设计而达到要求时,能获得一种成就感,更会激发学生学习的兴趣。设计可只画出电路图,有条件也可做出实际电路。例如,模电部分可由学生设计完成电脑用小音箱电路,它包括了直流稳压电源电路、前量放大器(分立元件或集成运放完成)、功率放大器(分立元件或集成功放);数电部分可由学生设计完成数码显示器电路,它包含了555电路应用、集成计数器电路、数码显示译码器等。都是所学知识的基本组合。

三、加强实验环节,提高动手能力

通过课堂教学,学生对电子技术有了一定的掌握,但实际动手能力还很差。只有通过实际操作,才能逐步提高。实验环节主要在以下几个方面:

(一)元件的识别、检测和仪器,仪表的正确使用

1.电阻、电容的读数与测量

①四环电阻(前两环标识有效数字,第三环标识10的次幂,第四环位标识误差位)和五环电阻(前三环标识有效数字,第四环标识10的次幂,第五环位标识误差位)的读数(棕1,红2,橙3,黄4,绿5,蓝6,紫7,灰8,白9,黑0)。②电容的读数:区别独石电容(如:101,102,103)和电解电容(容体上标识容值和电压)。③用指针式或数字万用表分别检测电阻与电容的好坏。

2.二极管的识别与检测

①注意二极管的主要参数(最大整流电流、最大反向工作电压)。②二极管的极性判别(通过元器件上黑圈上的标识或用万用表测量)。③二极管的好坏判别(用万用表测量PN节是否存在)。

3.三极管的识别与检测

①三极管的主要参数(电流放大系数、耗散功率、频率特性集电极最大电流、最大反向电压、反向电流)。②三极管的引脚判别(a、判定基极。用万用表R×100或R×1k挡测量管子三个电极中每两个极之间的正、反向电阻值。当用第一根表笔接某一电极,而第二表笔先后接触另外两个电极均测得低阻值时,则第一根表笔所接的那个电极即为基极b。这时,要注意万用表表笔的极性,如果红表笔接的是基极b,黑表笔分别接在其他两极时,测得的阻值都较小,则可判定被测管子为PNP型三极管;如果黑表笔接的是基极b,红表笔分别接触其他两极时,测得的阻值较小,则被测三极管为NPN型管如9013,9014,9018。b、判定三极管集电极c和发射极e。以PNP型三极管为例,将万用表置于R×100或R×1K档,红表笔基极b,用黑表笔分别接触另外两个管脚时,所测得的两个电阻值会是一个大一些,一个小一些。在阻值小的一次测量中,黑表笔所接管脚为集电极;在阻值较大的一次测量中,黑表笔所接管脚为发射极)。

4.单、双向晶闸管的识别与检测

①晶闸管的引脚判别(晶闸管管脚的判别可用下述方法:先用万用表R*1K挡测量三脚之间的阻值,阻值小的两脚分别为控制极和阴极,所剩的一脚为阳极。再将万用表置于R*10K挡,用手指捏住阳极和另一脚,且不让两脚接触,黑表笔接阳极,红表笔接剩下的一脚,如表针向右摆动,说明红表笔所接为阴极,不摆动则为控制极)。②单向可控硅的检测(万用表选电阻R*1Ω挡,用红、黑两表笔分别测任意两引脚间正反向电阻直至找出读数为数十欧姆的一对引脚,此时黑表笔的引脚为控制极G,红表笔的引脚为阴极K,另一空脚为阳极A。此时将黑表笔接已判断了的阳极A,红表笔仍接阴极K。此时万用表指针应不动。用短线瞬间短接阳极A和控制极G,此时万用表电阻挡指针应向右偏转,阻值读数为10欧姆左右。如阳极A接黑表笔,阴极K接红表笔时,万用表指针发生偏转,说明该单向可控硅已击穿损坏)。③双向可控硅的检测(用万用表电阻R*1Ω挡,用红、黑两表笔分别测任意两引脚间正反向电阻,结果其中两组读数为无穷大。若一组为数十欧姆时,该组红、黑表所接的两引脚为第一阳极A1和控制极G,另一空脚即为第二阳极A2。确定A1、G极后,再仔细测量A1、G极间正、反向电阻,读数相对较小的那次测量的黑表笔所接的引脚为第一阳极A1,红表笔所接引脚为控制极G。将黑表笔接已确定的第二阳极A2,红表笔接第一阳极A1,此时万用表指针不应发生偏转,阻值为无穷大。再用短接线将A2、G极瞬间短接,给G极加上正向触发电压,A2、A1间阻值约10欧姆左右。随后断开A2、G间短接线,万用表读数应保持10欧姆左右。互换红、黑表笔接线,t表笔接第二阳极A2,黑表笔接第一阳极A1。同样万用表指针应不发生偏转,阻值为无穷大。用短接线将A2、G极间再次瞬间短接,给G极加上负的触发电压,A1、A2间的阻值也是10欧姆左右。随后断开A2、G极间短接线,万用表读数应不变,保持在10欧姆左右。符合以上规律,说明被测双向可控硅未损坏且三个引脚极性判断正确)。

(二)验证性试验,巩固所学理论知识

①晶体管共射极单管放大电路。②场效应管放大器。③负反馈放大器。差分放大电路。④集成运算放大器指示测试。⑤模拟运算电路。⑥波形发生器。⑦OTL功率放大器。⑧集成功率放大器。⑨串联型晶体管稳压电源。⑩LC正弦波振荡器。

(三)是开发性试验,由老师指定适合的相应题目,由学生设计,制作来完成

①晶体管放大器设计。②场效应管放大器设计。③差分放大器设计。④RC有源滤波器设计。

【参考文献】