公务员期刊网 精选范文 集成电路范文

集成电路精选(九篇)

集成电路

第1篇:集成电路范文

2.IC设计:系统整机厂商的新选择李明骏

3.电子书成功的三个要素中国集成电路 李明骏

4.LED照明:不仅仅是高亮度RichardFZarr

5.半导体存储器产业的初步实践与思考高传贵

6.艰难困苦玉汝于成——访艾克赛利公司总裁张锡盛博士挽弓

7.持续发挥模拟代工优势,华润上华致力提升客户产品竞争力胡芃

8.质量管理的基本概念张志宏

9.一种CMMB接收机OFDM频偏估计方法李刚

10.对射频电感实现PDK参数化和建模的难点处理王浩,黄勇,吴林

11.基于STI工艺的高压LDMOS器件设计与优化周杰,陈利,郭东辉,ZHOUJie,CHENLi,GUODong-hui

12.多片大规模FPGA的ASIC原型验证平台快速设计方法郭叙海

13.CMOS掉电检测及保护电路设计江浩

14.5.2GHz0.18μmCMOS射频低噪声放大器的设计周盛华,叶有祥,李海华,ZHOUSheng-hua,YEyou-xiang,LIhai-hua

15.HDMICEC的设计与实现张涌,黄世震,ZHANGYong,HUANGShi-zhen

16.SOC芯片的高速模拟IP测试方法学曾培雄,苏哲彬,倪绵喜,陈宏铭

17.MEMS加速计、陀螺仪和地磁感应计推动创新型消费电子应用发展FabioPasolini

18.深圳IC设计产业发展目标、思路和重点

19.闪存涉诉"337",下游厂商受牵连——浅析三星闪存芯片知识产权纠纷案逄杨,PANGYang

1.信息动态

2.在创新中发展的互联网(吴建平教授讲话摘要)为民

3.3GPP-LTE基带处理系统仿真与计算需求分析李闻博,陈书明

4.基于扩频通信的低压电力线载波芯片的设计荣岳栋,徐东明,周晓刚,RONGYue-dong,XUDong-ming,ZHOUXiao-gang

5.一种用于14bitSARADC的DAC设计刘永红,何明华,LIUYong-hong,HEMing-hua

6.低抖动的480MHzCMOS电荷泵式锁相环吴玉强

7.基于CBIC的DDFS设计张涌,黄世震,ZHANGYong,HUANGShi-zhen

8.一种红外遥控编码专用芯片的设计与实现李新,贾怀彬,陆婷,LIXin,JIAHuai-bin,LUTing

9.面向DSRC的5.8GHz射频功率放大器仿真设计邢飞燕,孙玲,彭艳军,XINGFei-yan,SUNLing,PENGYan-jun

10.隐式认证在移动设备中的应用顾海华

11.InGaP/GaAsHBT射频功率放大器在片温度补偿电路研究李诚瞻,陈志坚,王永平,马传辉,杨寒冰,廖英豪,周勇,刘斌

12.基于概率计算的数字滤波器的实现陈杰男,胡剑浩,CHENJie-nan,HUJian-hao

13.基于FSL总线及MicroBlaze系统的IDCT变换的实例郭星辰

14.非接触式IC卡硬件驱动层的功能测试方法左捷,ZUOJie

15.无锡集成电路产业发展情况国家集成电路设计无锡产业化基地,无锡国家集成电路设计基地有限公司

16.无锡新区超大规模集成电路园(2010年-2012年)行动计划(要点)

17.集成电路反向工程知识产权问题探析于鹏,杜娇,游涛,谢学军,YUPeng,DUJiao,YOUTao,XIEXue-jun

1.信息动态

2.飞思卡尔2010技术论坛在上海开幕——"智能互联"时代已经来临

3.聚全球资源做世界光谷——第七届"中国光谷"国际光电子博览会暨论坛武汉召开

4.中国集成电路 国际半导体技术发展路线图(ITRS)2009年版综述(8)为国

5.阻碍国内RFID产业发展的关键技术壁垒及其突破途径与策略研究王晔

6.华罗庚:在困境中更要发愤求进为民

7.长电科技——半导体封测领域的领跑者——访江苏长电科技股份有限公司董事长王新潮黄友庚

8.通用型I2C总线的IP设计与验证陈涛,王伟,田华

9.一种电子系统认证芯片的物理设计赖松林,LAISong-lin

10.SDH传输系统中帧定位电路设计吕瑾,徐东明,张云军,LVJin,XUDong-ming,ZHANGYun-jun

11.基于无线传感器网络的体温测量系统设计田颖,刘军,TIANYing,LIUJun

12.ATE的技术演进规律及多点测试的成本分析李文石,刘晶

13.跨时钟域信号同步的IP解决方案RickKelly

14.开源软件涉及的相关知识产权问题分析工业和信息化部软件与集成电路促进中心知识产权部

1.信息动态

2.国际半导体技术发展路线图(ITRS)2009年版综述(7)为国

3.终生以国家需求为己任——记侯朝焕院士的科学追求为民综合报道

4.片上网络的动态虚通道分配策略沈慧,凌翔,SHENHui,LINGXiang

5.基于串行通讯的FPG上RAM数据下载与系统调试唐凯,周剑扬,TANGKai,ZHOUJian-yang

6.一个高精度、低成本10位数字模拟转换器的设计与实现马烨,李斌,MAYe,LIBin

7.基于FPGA的USB2.0控制器的设计张健,黄虎,ZHANGJian,HUANGHu

8.数字中频接收机信号处理的研究朱运航,屈辉立,ZHUYun-hang,QUHui-li

9.一种用于DCDC的软启动电路吴玉强,夏书香,胡江鸣

10.H.264标准二进制算术编码IP核设计陈传东,何明华,王仁平,CHENChuan-dong,HEMing-hua,WANGRen-ping

11.片上网络路由器的交叉开关设计实现付志洲,凌翔,FUZhi-zhou,LINGXiang

12.超高频射频识别标签和阅读器产品测试平台姜祁峰

13.上海微系统所迈开SOI技术产业化大步沪生综合报道

14.电源管理策略推动便携式设计发展BrianChu

15.Intel与AmberWave专利诉讼解析邵莉,武晓岛,谢学军,SHAOli,WUXiao-dao,XIEXue-jun

1.信息动态

2.信息科技的发展态势和我们的目标选择李国杰

3.怀念数学宗师华罗庚先生——学习老一辈科学家的高尚品德

4.基于NoC架构的软件无线电验证平台设计王建荣,陈亦欧,凌翔

5.基于FPGA的软硬件协同仿真加速技术江霞林,周剑扬,杨银涛,林晓立,JIANGXia-lin,ZHOUJian-yang,YANGYin-tao,LINXiao-lihHTTp://

6.应用于DDR2/3之补偿式IBIS切换电流模型曾博民,赖颖俊,张哲玮,陈宏铭

7.基于SystemGenerator的Costas锁相环设计实现冉旋,凌翔,RANXuan,LINGXiang

8.基于OCP接口的片上网络性能评估平台罗丹,吴宁,LUODan,WUNing

9.16位数字二阶∑-调制器的分析与设计赵以诚,宋强国,吴春瑜,梁洁

10.915MHz射频收发系统的ADS设计与仿真李宝山,张香泽,LIBao-shan,ZHANGXiang-ze

11.基于测试码的测试:多测试位模拟和混合信号器件并行测试效率的关键问题JackWeimer

12.一种基于FX589的位同步提取电路设计李霞,郭勇

13.BP2808的LED照明灯具电源应用设计技术中国集成电路 颜重光

14.安森美半导体先进电机控制技术满足更高能效要求安森美半导体公司

15.OLED行业专利分析杨飞,梁海龙,YANGFei,LIANGHai-long

1.业界要闻

2.国际半导体技术发展路线图(ITRS)2009年版综述(6)

3.人才兴则民族兴,人才强则国家强——十年树木百年树人为民

4.适应科学技术发展自觉成才,为了祖国振兴奋勇拼搏——记程京院士的成长与创业为民

5.LEON微处理器综述牛伟

6.信息

7.一种使用在基于单元库布局布线流程的芯片上变异(OCV)监控器方法学洪郁庭,蔡裕文,陈宏铭,HUNGYu-ting,TsaiYu-Wen,CHENHung-ming

8.低功耗方法在SoC芯片设计中的应用马芝

9.ARM专为无晶圆厂半导体公司量身打造的、基于处理器的经济型定制SoCJayJohnson

10.使用Synopsys的DesignWare(R)IP实现基于AMBA(R)3AXITM协议的快速设计MickPosner

11.畅游于无限的内容世界未来的家庭电视体验?ChrisPorthouse

12.征稿启事

13.基于RS422的远程控制高精度频率源设计刘再东,祝忠明,史钦文,余艳平,LIUZai-dong,ZHUZhong-ming,SHIQin-wen,YUYan-ping

14.交通灯控制器的设计与实现黄鸿锋

15.晶体硅太阳能电池的丝网印刷技术应用材料(中国)有限公司

16.Tensilica公司资深专家ChrisRowen博士畅谈微处理器和SoC设计技术的发展趋势

17.开放式SoC解决方案平台-SOCIP——访思尔芯信息科技有限公司董事长陈睦仁彭园萍

18.计算机软件商业秘密的法律保护游涛,YOUTao

1.业界要闻·信息

2.CSIP与华旗爱国者携手共建企业创新中心

3.国际半导体技术发展路线图(ITRS)2009年版综述(5)为国

4.ECC在信息安全领域内的应用现状汪朝晖

5.英特尔公司全球副总裁兼中国总裁杨叙谈:英特尔如何应对金融危机刘湘明

6.消费类电子带动ARM在中国的发展——访ARM中国总经理兼销售副总裁AllenWu彭园萍

7.中小型IC设计公司的福音:微电子封装与系统集成公共服务平台成立——访北京大学上海微电子研究院院长程玉华先生彭园萍

8.芯片设计中的功耗估计与优化技术于立波,YULi-bo

9.基于TSMC55工艺的ELC流程孟少鹏,马强,MENGShao-peng,MAqiang

10.用太阳能电池供电的锂电池充电管理集成电路的设计程莉莉,赵建龙,熊勇,纪虹,张为,郑殷,CHENGLi-li,ZHAOJian-long,XIONGYong,JIHong,ZHANGWei,ZHENGYin

11.长度为2n的FFT运算基实现张思为,胡剑浩,ZHANGSi-wei,HUJian-hao

12.基于GaN的功率技术引发电子转换革命TimMcDonald

13.携手合作,电子设计自动化工具也能够密切协作RichardMorse,SpringSoftInc.

14.半导体封装行业ERP与MES融合初探郭雁冰,周军德,GUOYan-bing,ZHOUJun-de

15.中国集成电路 STIL-ATE与EDA之间的桥粱孙亚春

16.高集成度锁相环在40/49MHz婴儿监听器中的应用马芝

17.睿智变革,瑞芯微电子创造移动互联完整解决之道福州瑞芯微电子有限公司

第2篇:集成电路范文

税目8534“印刷电路”及归类

“印刷电路”(又称印刷线路板),是指在制造电路板时,仿照印刷业中的制版方法,先画出电子线路图,再把线路图蚀刻在覆有铜箔的绝缘板上,然后把不需要的铜箔部分蚀刻掉,只留下导通的线路,这样,电子元件就通过铜箔形成的电路连接起来。其按层数可分为单面印刷电路、双面印刷电路及多层印刷电路。

大型计算机和导航系数等需要采用层数较多的(多达20层以上)的印刷电路,而一般的电子手表、收音机、收录机和电视机等采用单面或双面印刷电路。

在归类中,“印刷电路”属于税目8534的商品。根据税目条文注释,可以简单归纳如下,“印刷电路板”是在覆铜板上印刷电路,通常这类电路上备有孔眼、未装有机械元件或电气元件,其本身不能成为一个独立的电气元件。若在印刷电路板上装有或接上机械元件或电气元件(如半导体二极管、三极管、集成电路等),则不能视为税目8534所指的印刷电路,这些电路应分别按照零件的归类原则来进行归类,即视具体功能,按功能电路板归类。

归类案例:“电子线路板”

该产品为四层线路板,其板上安装了部分接插座,以及预留了部分供安装接插座用的孔眼,没有安装电子元器件,用于通信基站控制箱连接其他电路板电路导通用。

归类解析:参照税目8534条文注释,印刷电路可备有孔眼或配有非经印刷的连接元件,用以安装机械元件或连接非印制的电气元件。由于该产品符合税目8534的商品范畴,因此,该“电子线路板”应归入税号85340010。

税目8542“集成电路”及归类

集成电路(简称“IC”),是利用半导体工艺、膜丁艺,将电路所需的元件、器件和互连线集成制作在同一基片上,并按电路要求相互连接起来,使其成为具有一定功能的电路。它是继电子管、半导体器件之后出现的电子器件,广泛应用于各类电子产品中。

集成电路按制作工艺不同,可分为单片集成电路、混合集成电路和多芯片集成电路。“集成电路”属于税目8542的商品。根据税目8542条文注释,可以将其简单归纳为,“集成电路”是一块由完全不可分割的组合件组成一个独立单一的电气元件,而非“电路板”或“分立元件”。

归类案例:“SIM模块”

“SIM模块”为用户身份识别模块。是一种集成电路芯片产品,采用集成电路制造工艺而制得,外面接有接触铜片(但未安装在板卡或其他载体上),为32K模块,具有数据存储功能,可进行数据处理和运算,可以根据使用需求写入应用程序。模块可作为智能卡片上的芯片,应用于手机、传真机、扫描仪等通讯设备和办公自动化机器。

归类解析:该“SIM模块”是利用半导体工艺而制得的产品,符合税目8542的商品描述,属于税目8542的商品范畴。参照其具有数据存储、处理和运算等功能,该“SIM模块”应归人税号85423100。

税目9032“电路功能板”及归类

“电路功能板”是在税目8534的印刷电路板上装有或接上机械元件或电气元件(如半导体二极管、三极管、集成电路等)并构成有一定功能的线路板。

在海关商品归类中,“电路功能板”没有具体税号,其归类主要根据第十六类注释二的零件归类规定,视其具体功能,按机器的零件进行归类。

例如:“洗碟机用线路板”,应按洗碟机零件归入税号84229010。

“对讲机用线路板”,应按对讲机零件归入税号85177040。

此外,必须注意的是:若“电路功能板”是带有控制功能的,则应归入税目8537或税目9032:具有“非自动控制功能的电路功能板”(即开环控制电路的)应归人税目8537项下;具有“自动控制功能的电路功能板”(即闭环控制电路的)应归人税目9032项下。

归类案例“电路板”

第3篇:集成电路范文

一、集成电路布图设计的概念

集成电路的布图设计是指一种体现了集成电路中各种电子元件的配置方式的图形。集成  电路的设计过程通常分为两个部分:版图设计和工艺。所谓版图设计是将电子线路中的各个  元器件及其相互连线转化为一层或多层的平面图形,将这些多层图形按一定的顺序逐次排列  构成三维图形结构;这种图形结构即为布图设计。制造集成电路就是把这种图形结构通过特  定的工艺方法,“固化”在硅片之中,使之实现一定的电子功能。所以,集成电路是根据要实现的功能而设计的。不同的功能对应不同的布图设计。从这个意义上说,对布图设计的保护也就实现了对集成电路的保护。

集成电路作为一种工业产品,应当受到专利法的保护。但是,人们在实践中发现,由于集成电路本身的特性,大部分集成电路产品不能达到专利法所要求的创造性高度,所以得不到专利法的保护。于是,在一九七九年,美国众议院议员爱德华(Edward)首次提出了以著作权法来保护集成电路的议案。但由于依照著们法将禁止以任何方式复制他人作品,这样实施  反向工程也将成为非法,因此,这一议案在当时被议会否决。尽管如此,它对后来集成电路保护的立法仍然有着重要意义,因为它提出了以保护布图设计的方式来保护集成电路的思想;在这基础上,美国于1984年颁布了《半导体芯。片保护法》;世界知识产权组织曾多次召集专家会议和政府间外交会议研究集成电路保护问题,逐渐形成了以保护布图设计方式实现对集成电路保护的一致观点,终于在一九八九年缔结了《关于保护集成电路知识产权条约》。在此期间,其他一些国家颁布的集成电路保护法都采用了这一方式。

虽然世界各国的立法均通过保护布图设计来保护集成电路,但关于布图设计的名称却各不相同。美国在它的《半导体芯片保护法,)中称之为“掩模作品”(maskwork);在日本的《半导体集成电路布局法》中称之为“线路布局”(cir— cuitlayout);而欧共体及其成员国在其立法中称布图设计为“形貌结构”(topography);世界知识产权组织在《关于集成电路知识产权条约》中将其定名为布图设计。笔者以为,在这所有的名称中以“布图设计”一词为最佳。“掩模作品”一词取意于集成电路生产中的掩模。“掩模作品”一词已有过时落后之嫌,而“线路布局”一词又难免与电子线路中印刷线路版的布线、设计混淆。“形貌结构”一词原意为地貌、地形,并非电子学术语。相比之下,还是世界知识产权组织采用的“布图设计”一词较为妥当。它不仅避免了其他名词的缺陷,同时这一名词本身已在产业界及有关学术界广泛使用。《中国大百科全书》中亦有“布图设计”的专门词条‘

二、布图设计的特征

布图设计有着与其他客体相同的共性,同时也存在着自己所特有的个性。下面将分别加以论述。

1.集成电路布图设计具有无形性

无形性是各种知识产权客体的基本特性,,因此也是布图设计作为知识产权客体的必要条件。布图设计是集成电路中所有元器件的配置方式,这种“配置方式”本身是抽象的、无形的,它没有具体的形体,是以一种信息状态存在于世的,不象其他有形物体占据一定空间。

布图设计本身是无形的,但是当它附着在一定的载体上时,就可以为人所感知。前面提到布图设计在集成电路芯片中表现为一定的图形,这种图形是可见的。同样,在掩模版上布图设计也是以图形方式存在的。计算机辅助设计技术的发展,使得布图设计可以数据代码的方式存储在磁盘或磁带中。在计算机控制的离子注入机或者电子束曝光装置中,布图设计也是以一系列的代码方式存在。人们可通过一定方式感知这些代码信息。布图设计是无形的,但是其载体,如掩模版、磁带或磁盘等等却可以是有形的。

2.布图设计具有可复制性

通常,我们说著作权客体具有可复制性,布图设计同样也具有著作权客体的这一特征。当载体为掩模版时,布图设计以图形方式存在。这时,只需对全套掩模版加以翻拍,即可复制出全部的布图设计。当布图设计以磁盘或磁带为载体时,同样可以用通常的磁带或磁盘拷贝方法复制布图设计。当布图设计被“固化”到已制成的集成电路产品之中时,复制过程相对复杂一些。复制者首先需要去除集成电路的外封装;再去掉芯片表面的钝化层;然后采用不同的腐蚀液逐层剥蚀芯片,并随时拍下各层图形的照片,经过一定处理后便可获得这种集成电路的全部布图设计。这种从集成电路成品着手,利用特殊技术手段了解集成电路功能、设计特点,获得其布图设计的方法被称为“反向工程”。

在集成电路产业中,这种反向工程被世界各国的厂商广泛采用。集成电路作为现代信息工业的基础产品,已渗透到电子工业的各个领域,其通用性或兼容性对技术的发展有着非常重要的意义。因此,而反向工程为生产厂商了解其他厂商的产品状况提供了可能。如果实施反向工程不是单纯地为复制他人布图设计以便仿制他人产品,而是通过反向工程方法了解他人品功能、参数等特性,以便设计出与之兼容的其他电路产品,或者在别人设计的基础上加以改进,制造出更先进的集成电路,都应当认为是合理的。著作权法中有合理使用的规定,但这种反向工程的特许还不完全等同于合理使用。比如,合理使用一般只限于复制原作的一部分,而这里的反向工程则可能复制全套布图设计。改编权是著作权的权能之一,他人未经著作权人同意而擅自修改其作品的行为是侵权行为,但这里对原布图设计的改进则不应视为侵权。

综之,无论何种载体,布图设计是具有可复制性的。

3.布图设计的表观形式具有非任意性著作权客体的表现形式一般是没有限制的。同一思想,作者可随意采取各种形式来表达,因此著作权法对其表现形式的保护并不会导致对思想的垄断。布图设计虽然在集成电路芯片中或掩模版上以图形的方式存在,具备著作权客体的外在特性,但是其表现形式因受诸多客观因素的限制,却是有限的或者非任意的。

首先,布图设计图形的形状及其大小受着集成电路参数要求的限制。如果要求集成电路  具有较高的击穿电压,设计人在完成布图设计时就必须将晶体管的基区图形设计为圆形,以  克服结面曲率半径较小处电场过于集中的影响。对于用于功率放大的集成电路,其功放管图  形的面积必须较大,使之得以承受大电流的冲击。

其次,布图设计还受着生产工艺水平的限制。为了提高集成电路的集成度或者追求高频 特性,常常需将集成电路中各元件的面积减小。这样,布图设计的线条宽度也相对较细。目前国。外已达到亚微米的数量级。但如果将线条设计得太细,以致工艺难度太大将会大大地降低集成电路成品率和可靠性,这是极不经济的;同样地,如果一味,地追求功率参数,将芯片面积增大,也会降低集成电路的成品率。

此外,布图设计还受着一些物理定律以及材料类及其特性等多种因素的限制。比如,晶体管可能因为基区自偏压效应而导致发射极间的电位不等。为克服基区自偏压效应,则需在加上均压图形。

虽然从理论上讲,突破这些限制条件的图形也可以受到著作权的保护,但由于布图设计的价值仅仅体现在工业生产中,所以对那些完全没有实用价值的、由设计人自由挥洒出来的所谓“布图设计”实施保护是没有任何意义的。这些图形不是真正意义上的布图设计,称其为一种“抽象作品”或许更为恰当。布图设计在表现形式的有限性方面,与工业产权客体相似。

三、布图设计权的特性

从上面的分析可知,集成电路布图设计有其自身的特征,并同时兼备著作权客体和工业产权客体的特性。在立法保护布图设计、规定创作人的布图设计权时,应当考虑这一特点。

首先,布图设计权应具备知识产权的共同特性,即专有性;时间性和地域性。布图设计具有无形性,同一布图设计可能同时为多数人占有或使用。为保障布图设计创作人的利益,布图设计权应当是一项专有权利。另一方面,布图设计的价值毕竟是通过其工业应用才得以实现。仅就一特定的布图设计而言,使用它的人越多,为社会创造的价值就越大。如果布图设计权在时间上是无限的,则不利于充分发挥其对社会的作用,也不利于集成电路技术的发展。所以布图设计权应有一定时间期限。当然,对时间期限的具体规定应当既考虑公共利益,又照顾到创作人的个人权益。只有找到二者的平衡点,才是利益分配的最佳状态。地域性作为知识产权的共性之一,同样为布图设计权所具备,在世界知识产权组织的《关于集成电路的知识产权条约》第三条;第四条和第五条的内容都涉地域问题,这实际上肯定了布图设计权的地域性。

其次,布图设计权还具有其独特的个性。下面将其分别与著作权和工业产权相对照,从而分析其特点。

1.布图设计权的产生方式与著作权不同,只有在履行一定的法律程序后才能产生。集成电路作为一种工业产品,一旦投放市场将被应用于各个领域,性能优良的集成电路可能会因其商业价值引来一些不法厂商的仿冒。另一方面,由于集成电路布图设计受到诸多因素的限  制,其表现形式是有限的,这就可能存在不同人完全独立地设计出具有相同实质性特点的布图设计的情况。这就是说,布图设计具有一定的客观自然属性,其人身性远不及普通著作权客体那样强。所以法律在规定布图设计权的产生时,必须对权利产生方式作出专门规定,否则便无法确认布图设计在原创人和仿冒人之间,以及不同的独立原创人之间的权利归属。

2.布图设计权中的复制权,与著作权中的复制权相比,受到更多的限制。翻开各国集成电路技术的发展史,反向工程在技术的发展中有着不可取代的作用。如果照搬著作权法中关于复制权地规定,实施反向工程将被认为是侵权行为。为了电子工业和集成电路技术的发展,应当对复制权加以一定的限制,允许在一定条件下或合理范围内实施反向工程,美国《半导体芯片保护法》第906条第一款中规定,“仅为了教学、分析或评价掩模作品中的概念或技术,或掩模作品中所采用的电路、逻辑流和图及元件的布局而复制该掩模作品者”;或进行上述的“分析或评价,以便将这些工作的结果用于为销售而制造的具有原创性的掩模作品之中者”均不构成侵犯掩模作品专有权。与此相反,单纯地为复制布图设计而实施反向工程仍为侵权。反向工程是对复制权的一种限制。

3.与工业产权相比,布图设计权产生的实质性条件也有所不同。专利法中“创造性”条件要求申请专利的技术方案具备“实质性特点”,而大多数集成电路达不到这一要求。比如,在设计专用集成电路时,常将一些已为人所熟知的单元电路加以组合,这种拼揍而成的集成电路大多难以满足专利法的创造性要求,这使得大量集成电路得不到专利法的保护,这正是传统专利制度与集成电路这一新型客体之间不协调的一面。所以集成电路保护法在创造性方面的要求不应象专利法要要求那么严,但也不能象著作权法完全不要求任何创造高度要求,因为布图设计的价值毕竟体现在工业应用上。

第4篇:集成电路范文

文献[3]中提出了一种基于数据流优化方法的全搜索运动估计电路,将绝对差值和(SAD)的计算拆分成残差值计算与SAD累加两部分,并通过对传统运动估计运算数据流的优化,使设计能够在相同的面积开销下对比文献[4]中减少近70%的带宽消耗.电路的主要结构包含了片上缓存,PE阵列以及数据流控制器,如图1所示.图1HEVC运动估计电路结构电路各模块按所占面积在表1中列出,可以发现,电路中的存储模块(SRAM)总共占用了40.9%的面积.这样的设计特征导致的直接结果会有非常多的长互连线存在于存储模块和逻辑模块之间.

2、三维运动估计电路的划分方法

图2中的连线表示所有SRAM与标准单元之间的信号线,由于SRAM集中在芯核(corearea)区域的右上角与右下角,而标准单元集中在芯核区域的左侧及中部,所以需要大量长互连线连接这三块区域.这些信号线具有较大的电容与电阻,导致读写SRAM的时序变差,且功耗也较大.本文将SRAM堆叠到标准单元区域的下方,使得原先相距较远的标准单元与SRAM输入/输出端口利用三维空间的优势缩短直线距离,避免了上述问题的发生.进一步分析表1可得,PE阵列模块占了芯核53.3%的区域.PE阵列共包含32×32个PE,以及一些加法器.每个PE的结构如图3所示,其由一个Router单元和一个Absolute单元组成,Router单元负责与邻近的四个PE交换数据,而Absolute单元用来计算2个8bit数据差值的绝对值.这两个单元分别占PE一半左右面积.每个PE都只与上下左右4个PE进行数据交换,所以这些局部互连的长度很大程度上决定了整个PE阵列的互连总长度.假设这些局部互连从PE的中心出发,如果能将单个PE的面积减少一半,则理论上局部互连的总线长能减少到原先的70.7%。根据上述分析,本文将HEVC运动估计电路分成4层(tier).其中tier1和tier2包含了所有SRAM和数据流控制器,tier3包含了PE阵列中的1024个Router单元,tier4包含了PE阵列中的1024个Absolute单元和加法树.Tier1为最低层,Tier4为最高层,各层均朝上.这样,整个运动估计电路被均匀地划分到各层中,如表2所示.

3、三维运动估计电路设计流程

本文使用SMIC65nm工艺提供的标准单元和SRAM,配合定制的硅通孔单元进行设计.根据全球半导体技术发展路线图[5],硅通孔的尺寸定为1μm×1μm.本文使用的工具是DesignCompiler,En-counter和PrimeTime.以下将具体介绍各个设计步骤,着重介绍与二维集成电路设计不同的地方,图4展示了整个设计流程.

3.1设计划分

设计划分的目的是将整个二维电路设计分割到三维多层设计中,以减小占用面积.划分时需要根据设计电路进行具体分析,注意均匀分配各层的面积,并且避免使用过多的硅通孔,因为硅通孔会额外占用标准单元的布局资源,且增加生产成本.本文针对HEVC运动估计电路,根据第2节中的分析,将设计分为四层.

3.2综合与布局

在三维电路设计中,综合与布局的方法和二维电路设计相似.不同之处在于,综合与布局,包括之后的后端步骤,都需要对每一层独立进行,就如同设计了四块芯片.在综合结束时,需要使用时序预算(TimingBudget)功能得到四个时序约束文件,以及四个网表文件.

3.3创建硅通孔

布局后需要创建硅通孔,将信号传输到下层.本文确定硅通孔位置的方法是,首先使用工具进行标准单元和SRAM的布局,然后使用脚本找到需要与下层通信的单元管脚位置,在其边上创建一个硅通孔并将管脚信号分配到这个硅通孔上,这样可以获得最小线长.所有硅通孔都创建并分配好信号之后,需要将这些信息导出,以便下层tier在相应位置的顶层金属上创建frontbump与上层硅通孔相连.只有最上面的三层需要创建硅通孔.

3.4时钟树综合

三维集成电路的时钟树综合采用二维电路中层次化设计的方法,即先在每一个tier的时钟信号端口的附近创建一个缓冲器,并利用这个缓冲器作为时钟树的根,为本层tier生成一棵时钟树.最后在底层tier设计完整时钟树时,将上面各层tier当作数个宏模块,并在配置文件中描述各宏模块的时钟树特性,包括端口名、最大/最小上升延迟、最大/最小下降延迟和额外电容.其中额外电容用来描述硅通孔引入的电容.

3.4时序验证

为了验证三维集成电路的时序,首先需要得到各层tier的SPEF文件,其中包含了网表的电容、电阻等数据.在PrimeTime中导入各层SPEF文件,并设置合适的硅通孔电容电阻参数,即可进行多层tier联合时序验证.

3.5版图设计结果

图5展示了三维HEVC运动估计电路的版图设计结果,从上至下依次为tier4至tier1.每一层中的左图展示了标准单元和SRAM的位置,而右图展示了硅通孔的位置.其中上方两层全部由标准单元组成,下方两层主要由SRAM组成.5结果分析与比较本文为了定量分析三维集成电路带来的各项优势,分别对二维运动估计电路和三维运动估计电路进行了完整的设计.由于集成电路的设计是各项指标之间的平衡(trade-off),在不同的约束下,会得到不同结果,本文在假设二维电路的面积与三维电路四层tier的总面积相等,且时钟约束都为250MHz的情况下,对比其线长、功耗等性能指标.对比结果如表3所示,结果证明,三维HEVC运动估计电路比二维电路减小了75%占用面积,14.4%总线长,17.1%平均线长和12.3%功耗.

4、结束语

第5篇:集成电路范文

在学生愿意主动来到课堂学习的前提下,吸引学生的学习兴趣更为重要。为了可以让学生兴趣盎然地参与到教学过程中来,教师在能讲述知识的前提下,还要能激发学生的学习动机,唤起学生的求知欲望。在这方面,教师可以结合实际应用,讲述一些射频集成电路在日常生活中的应用。比如,美国半导体产业协会(SIA)总裁兼执行长BrianToohey曾指出:“从物联网、智能汽车、智能家居等市场都可以看出,半导体普遍出现在每一种产品类型中,而且正变得无处不在。”仅仅在我们每天使用的智能手机中就包含RF收发器、功率放大器、天线开关模块、前端模块、双工器、滤波器及合成器等关键射频元件。而且有报告指出,2011年这些射频器件的市场规模为36亿美元,预计2011~2015年的年复合增长率为5.6%,到2016年主要的射频器件市场将达47亿美元。此外,目前应用比较广泛的WiFi及物联网都与射频集成电路有着密切的关系。这些切实应用由于与学生的生活以及将来的就业息息相关,因此,相关内容的讲述能够有效地激发学生的学习热情。

二、如何让学生成为课堂的主人

“以教师为中心”“以灌输为主要形式”的传统教学方式已经无法适应新时代的需求。如果教师仅根据教材对内容进行枯燥的讲解,无法抓住学生的注意力,学生很容易溜号,影响课堂教学质量。因此可以通过引进研究型教学模式、师生互动来活跃课堂气氛。所谓“研究型教学模式”即将教师由知识的传授者转变为学习的指导者,将学生由被动的学习转变为主动的学习。如何使学生成为课堂的主人,在教学实践中发现培养学生的问题意识是课堂教学的有效手段,教师可以通过创设开放的问题情景,引导学生进入主动探求知识的过程,使学生围绕某类主体调查搜索、加工、处理应用相关信息,回答或解决现实问题。比如,以射频技术在物联网中的应用为开放课题,学生通过查资料,分析整理,更深刻体会了射频技术在智能家居、交通物流、儿童防盗等方面的应用,使学生在学习过程中主动把“自我”融入到课程中,敢于承担责任,善于解决问题。

三、让学生走上讲台

学生是课堂的主人,因此,可以改变以往教师在讲台上讲、学生坐在下面听的传统教学模式。让学生走上讲台可以将传统的讲授方式转换为专题研讨的教学模式。教师可以提前布置专题内容,如射频器件模型、射频电路设计、射频技术发展、射频技术的应用及未来发展趋势等。有个专题内容作为核心,学生可以在老师的指导下通过检索资料,组织分析资料,最终走上讲台向老师和其他学生讲述相关的内容。通过几年的实践,发现这样可以增加学生学习的主动性和自觉性、同时也能使学生对相关的问题发表各自的观点,形成对问题各抒己见、取长补短的研讨学习方式,大大拓宽学生的知识面以及综合表述能力。

四、通过实践教学加深理解理论教学内容

理论教学是掌握一门技术的基础,但实践教学也是必不可少的。学生在掌握一定的基础理论的同时,须要通过设计实践来强化巩固。实践教学的引入,不仅能够加深学生对理论知识的深入理解,洞悉细节,提高学生的动手能力,还可以培养学生创新思维及科研能力。因此,教师可以通过设置几个开放的课程设计内容来让学生主动研究探索。在本课程的教学中,本人已经有计划地进行了实践教学活动,例如,在实践教学中,曾经给学生布置了“用于GPS的低噪放电路设计”的实践设计。在该设计过程中,学生须要深入理解多方面知识,比如明确GPS的频段、确定低噪放的电路结构,并有效评估电路性能等。为了课程设计的顺利进行,学生须要进行查阅分析资料、软件安装、软件学习、电路设计、课程论文撰写等几个环节的分析设计工作,并最终在实践中系统深刻地理解掌握课程的理论内容,为以后的工作及深造打下坚实的基础。

五、鼓励学生参与科研项目

第6篇:集成电路范文

【关键词】微电子学 集成电路 半导体

微电子学与集成电路是现代信息技术的基础,各类高新行业在具体发展中,均会对微电子学和集成电路进行应用。其中,集成电路选择半导体镜片作为基片,并结合相关工艺,将电阻、电容等元件与基片连接,最终形成一个具备完整电路功能的系统或是电路。较比集成电路微电子学是在集成电路的基础上,研究半导体和集成电路的相关物理现象,并有效的对其进行应用,满足各类电子器件需求的效果。基于此,本文对当前微电子学与集成电路展开分析,具体内容如下。

1 微电子学与集成电路解读

微电子学是电子学的分支学科,主要致力于电子产品的微型化,达到提升电子产品应用便利和应用空间的目的。微电子学还属于一门综合性较强学科类型,具体的微电子研究中,会用到相关物理学、量子力学和材料工艺等知识。微电子学研究中,切实将集成电路纳入到研究体系中。此外,微电子学还对集成电子器件和集成超导器件等展开研究和解读。微电子学的发展目标是低能耗、高性能和高集成度等特点。

集成电路是通过相关电子元件的组合,形成一个具备相关功能的电路或系,并可以将集成电路视为微电子学之一。集成电路在实际的应用中具有体积小、成本低、能耗小等特点,满足诸多高新技术的基本需求。而且,随着集成电路的相关技术完善,集成电路逐渐成为人们生产生活中不可缺少的重要部分。

2 微电子发展状态与趋势分析

2.1 发展与现状

从晶体管的研发到微电子技术逐渐成熟经历漫长的演变史,由晶体管的研发以组件为基础的混合元件(锗集成电路)半导体场效应晶体管MOS电路微电子。这一发展过程中,电路涉及的内容逐渐增多,电路的设计和过程也更加复杂,电路制造成本也逐渐增高,单纯的人工设计逐渐不能满足电路的发展需求,并朝向信息化、高集成和高性能的发展方向。

现阶段,国内对微电子的发展创造了良好的发展空间,目前国内微电电子发展特点如下:

(1)微电子技术创新取得了具有突破性的进展,且逐渐形成具有较大规模的集成电路设计产业规模。对于集成电路的技术水平在0.8~1.5μm,部分尖端企业的技术水平可以达到0.13μm。

(2)微电子产业结构不断优化,随着技术的革新产业结构逐渐生成完整的产业链,上下游关系处理完善。

(3)产业规模不断扩大,更多企业参与到微电子学的研究和电路中,有效推动了微电子产业的发展,促使微电子技术得到了进一步的完善和发展。

2.2 发展趋势

微电子技术的发展中,将微电子技术与其他技术联合应用,可以衍生出更多新型电子器件,为推动学科完善提供帮助。另外微电子技术与其他产业结合,可以极大的拉动产业的发展,推动国内生产总值的增加。微电子芯片的发展遵循摩尔定律,其CAGR累计平均增长可以达到每年58%。

在未来一段时间内,微电子技术将按照提升集团系统的性能和性价比,如下为当前微电子的发展方向。

2.2.1 硅基互补金属氧化物半导体(CMOS)

CMOS电路将成为微电子的主流工艺,主要是借助MOS技术,完成对沟道程度的缩小,达到提升电路的集成度和速度的效果。运用CMOS电路,改善芯片的信号延迟、提升电路的稳定性,再改善电路生产成本,从而使得整个系统得到提升,具有极高研究和应用价值。可以将CMOS电路将成为未来一段时间的主要研究对象,且不断对CMOS电路进行缩小和优化,满足更多设备的需求。

2.2.2 集成电路是当前微电子技术的发展重点

微电子芯片是建立在的集成电路的基础上,所以微电子学的研究中,要重视对集成电路研究和分析。为了迎合信息系统的发展趋势,对于集成电路暴露出的延时、可靠性等因素,需要及时的进行处理。在未来一段时间内对于集成电路的研究和转变势在必行。

2.2.3 微电子技术与其他技术结合

借助微电子技术与其他技术结合,可以衍生出诸多新型技术类型。当前与微电子技术结合的技术实例较多,积极为社会经济发展奠定基础。例如:微光机电系统和DNA生物芯片,微光机电系统是将微电子技术与光学理论、机械技术等结合,可以发挥三者的综合性能,可以实现光开关、扫描和成像等功能。DNA生物芯片是将微电子技术与生物技术相结合,能有效完成对DNA、RNA和蛋白质等的高通量快速分析。借助微电子技术与其他技术结合衍生的新技术,能够更为有效推动相关产业的发展,为经济发展奠定基础。

3 微电子技术的应用解读

微电子学与集成电路的研究不断深入,微电子技术逐渐的应用到人们的日常生活中,对于改变人们的生活品质具有积极的作用。且微电子技术逐渐成为一个国家科学技术水平和综合国力的指标。

在实际的微电子技术应用中,借助微电子技术和微加工技术可以完成对微机电系统的构建,在完成信息采集、处理、传递等功能的基础上,还可以自主或是被动的执行相关操作,具有极高的应用价值。对于DNA生物芯片可以用于生物学研究和相关医疗中,效果显著,对改善人类生活具有积极的作用和意义。

4 结束语

微电子学与集成电路均为信息技术的基础,其中微电子学中囊括集成电路。在对微电子学和集成电路的解析中,需要对集成电路和微电子技术展开综合解读,分析微电子技术的现状和发展趋势,再结合具体情况对微电子技术的当前应用展开解读,为微电子学与集成电路的创新和完善提供参考,进而推动微电子技术的发展,创造更大的产值,实现国家的持续健康发展。

参考文献

[1]张明文.当前微电子学与集成电路分析[J].无线互联科技,2016(17):15-16.

[2]方圆,徐小田.集成电路技术和产业发展现状与趋势[J].微电子学,2014(01):81-84.

[3]柏正香.集成电路测试数据的处理[J].微电子学,2010,40(01):149-152.

[4]可卿.微电子学和集成电路打交道[J].大学指南,2010(07):42-45.

作者简介

胥亦实(1994-),男,陕西省榆林市人。大学本科学历。现供职于吉林大学。主要研究方向为集成电路工程。

第7篇:集成电路范文

【关键词】集成电路 电磁干扰 辐射干扰 屏蔽 接地

1 电磁干扰的传播途径及对集成电路的影响

1.1 电磁干扰的传播途径

电磁干扰源一般来说分为与人为干扰源和自然干扰源。人为干扰源是人工装置产生的电磁能量干扰,如广播、通信、家用电器、医用射频等设备产生的电磁能量。自然干扰源主要来源于大气层的天电噪声、地球外层空间的宇宙噪声。电磁干扰的传播途径有两种,即传导干扰和辐射干扰。

1.2 传导干扰

传导干扰是指通过导电介质把一个电网络上的信号干扰(即耦合)到另一个电网络。传导干扰传播必须在干扰源和敏感器之间有完整的电路连接,干扰信号沿着这个连接电路传递到敏感器,发生干扰现象。

1.3 辐射干扰

辐射干扰是指干扰源通过空间把其信号干扰(即耦合)到另一个电网络。辐射干扰传播是通过介质以电磁波的形式传播,干扰能量按电磁场的规律向周围空间发射。在集成电路的系统设计中,辐射干扰体现的尤为突出,集成电路的引脚、高频信号线、各类接插件等都存在成为具有天线特性的辐射干扰源的可能性,能发射电磁波并影响其他系统或本系统内其他子系统的正常工作。

1.4 电磁干扰对集成电路的影响

集成电路设备在电磁干扰作用下的表现是多种多样的,主要表现为降低技术性能指标,导致集成电路设备可靠性降低。常见的表现为语音系统语言清晰度差、图像显示系统清晰度降低、数字系统误码率增加、控制系统失控或误操作等;当发生电磁兼容性故障时,会给国防、工业、医疗、科研和交通运输等带来巨大损失并危及生命安全。

2 集成电路中电磁干扰的处理方法

2.1 电磁干扰三要素

电磁干扰三要素,即干扰源、干扰传播途径(或耦合途径)和敏感设备。三者的关系如下图所示。在集成电路的设计中,防电磁干扰基于以上有效措施对应形成了硬件抗干扰设计基本思想:抑制电磁干扰源、切断干扰耦合线路和提高元器件抗干扰能力,用以保证电子设备具有相对的抗电磁干扰能力,在其干扰下能正常工作,同时使电子设备自身尽可能少产生电磁干扰,避免影响周围其它设备正常运行,从而达到电磁兼容的理想状态。

2.2 电磁干扰的常用处理方法

2.2.1屏蔽

以某种材料(导电的或导磁的材料)制成的屏蔽壳体(实体的或非实体的),将需要屏蔽的区域封闭起来,形成电磁隔离,即其内的电磁场不能越出这一区域,而外来的辐射电磁场不能进入这一区域(或者进出该区域的电磁能量将受到很大的衰减)。屏蔽材料的选择应注意以下问题:

(1)交变电场的屏蔽体必须选用导电性能好的材料,而且必须良好地接地。

(2)低频(100kHz以下)磁场屏蔽常用的屏蔽材料是高导磁材料(如铁、硅钢片、坡莫合金等)。

(3)高频磁场的屏蔽,采用低电阻率的良导体材料,如铜、铝等。利用电磁感应现象在屏蔽壳体表面所产生的涡流的反磁场来达到屏蔽的目的。

(4)在高频电磁干扰和低频电磁干扰同时存在同时需要屏蔽室时,使用不同的金属材料构成多层屏蔽体。

2.2.2接地

接地是抑制噪声防止干扰的重要方法,接地是给电路或系统提供一个基准电位。接地分为安全接地和信号接地两种。

(1)安全接地采用低阻抗的导体将用电设备的外壳连接到大地上,使操作使用人员不致因设备外壳漏电或故障放电而发生触电危险。

(2)信号接地在系统和设备中,采用低阻抗的导线(或地平面)为各种电路提供具有共同参考电位的信号返回通路,使流经该地线的各电路信号电流互不影响。

2.2.3滤波

滤波可以显著地减小干扰的电平,因为干扰频谱成份与有用信号有所区别,滤波对于这些干扰信号有良好抑制能力,从而起到接地、屏蔽干扰抑制难以起到的作用。采用多级交流电源滤波是比较好的一种方法,滤波器中电感和电容组成低频滤波器可吸收电源电压波形畸变产生的谐波干扰;电感和电容组成的高频滤波器可抑制高频干扰;压敏电阻可吸收过压干扰。

2.2.4电路的布线措施

有时候采用接地、屏蔽、滤波等措施后仍不能满足电磁兼容的要求,这时就要求集成电路系统在设pcb板时就提前加入电磁兼容特性,从布线上抑制电磁干扰问题。

(1)电源线的布置

①电源线尽可能靠近地线以减小供电环路面积,差模辐射小,不同电源的供电环路不要相互重叠。

②采用多层工艺时,模拟电源和数字电源分开,避免相互干扰。不要把数字电源与模拟电源重叠放置,否则就会产生耦合电容,破坏分离度。

③电源平面与地平面可采用完全介质隔离,频率和速度很高时,应选用低介电常数的介质浆料。电源平面应靠近接地平面,并安排在接地平面之下,对电源平面分布的辐射电流起到屏蔽作用。

(2)信号线的布置

①将彼此不相容的信号线进行隔离

隔离不相容信号线可以有效避免其相互之间产生耦合干扰。其中高频与低频、大电流与小电流、数字与模拟信号线是不相容的,在信号线的布置上应该注意把它们隔离。

②减小信号环路的面积

这一做法可以有效减小环路的差模电流辐射。由于环路辐射与电流强度和环路面积成正比,在电流强度确定的情况下,要减小环路辐射,只有减小环路面积。

(3)时钟线路的布置

①不建议采用链结构进行时钟信号的传送,而建议采用星型结构传送时钟信号,将所有的时钟负载直接与时钟功率驱动器连接。

②晶振导带的控制也可减少电磁干扰,将所有连接晶振输入/输出端的导带尽量减短,这样可以减少噪声干扰及分布电容对晶振的影响。

③晶振电容地线应使用尽量宽而短的导带连接至器件上,离晶振最近的数字地引脚应尽量减少过孔。

(4)处理好接地线

在印刷电路板上,最重要的当属电源线和地线。而接地是克服电磁干扰的最主要手段。双面板的地线布置方法是单点接地法,电源一个接点,地一个接点,电源和地分别从电源的两端接到印刷电路板上。印刷线路板上,要有多个返回地线,这些都会聚到回电源的那个接点上,就是所谓单点接地。对于低频模拟信号用的屏蔽电缆,可以采用一端接地,而对于高频和数字信号,要使屏蔽电缆的两端都接地。

2.2.5用好去耦电容

高频去耦电容对去除高频成份有显著效果,好的高频去耦电容去除的高频成份可达1GHZ。一般采用的高频特性较好的材料是陶瓷片电容和多层陶瓷电容。在印刷线路板设计时,去耦电容要加在每个集成电路的电源,地之间。去耦电容有两个作用:一方面是本集成电路的蓄能电容,提供和吸收该集成电路开门关门瞬间的充放电能;另一方面旁路掉该器件的高频噪声。

2.2.6选用无源元件

实际应用的无源元件并不是“理想元件”,其元件特性与理想的元件特性是有差异的。所以应用元件本身就有可能是干扰源,因此选用无源元件可以防止干扰。

3 结语

综上所述,集成电路中电磁干扰问题,是一个系统的、整体的概念,它贯穿于电子设备从设计到使用的全过程,设计者要以事实为依据,从具体的问题入手,采取针对性的抗干扰措施。本文提出了集成电路中抗电磁干扰的常用的处理方法,通过这些方法的运用,可以有效降低电磁干扰对集成电路的影响,使集成电路的可靠性得到保障。

参考文献

[1]郭亚红.集成电路中抑制电磁干扰的布线措施[J].河北工业科技,2010.5.

[2]李红.基于DSP控制的交直流方波逆变电源系统研究[D].兰州理工大学,2006.

[3]韦家庄.红外线轴温探测系统磁钢信号采集与处理[D].中国科学技术大学,2003.

[4]景皓.关于单片机硬件抗干扰[J].黑龙江科技信息,2008.9.

[5]吴祯芸.提高电子产品抗干扰性能的常用方法[J].南平师专学报(自然科学版),2003.5.

[6]谢忠华.电子产品的抗干扰能力和电磁兼容性探讨[J].武汉职业技术学院学报,2005.9.

第8篇:集成电路范文

西安电子科技大学集成电路工程是五个国家集成电路人才培养基地之一,第一批九个示范性微电子学院里唯一的一个211学校,西电四个国家重点实验室之一的宽禁带实验室,实力比较强;西安电子科技大学,简称西电,是中华人民共和国教育部直属全国重点大学,由教育部与工信部、国防科技工业局、中国电子科技集团公司、陕西省、西安市共建,是1959年首批20所全国重点大学、首批国家双一流世界一流学科建设高校、北京高科大学联盟成员高校之一 ,入选国家首批211工程、985工程优势学科创新平台、2011计划、111计划、卓越工程师教育培养计划,是中国电子信息领域科学研究和人才培养的核心基地,中国雷达、信息论、密码学、电子对抗、微波天线等学科的发源地。

(来源:文章屋网 http://www.wzu.com)

第9篇:集成电路范文

关键词:集成电路设计;本科教学;改革探索

作者简介:殷树娟(1981-),女,江苏宿迁人,北京信息科技大学物理与电子科学系,讲师;齐臣杰(1958-),男,河南扶沟人,北京信息科技大学物理与电子科学系,教授。(北京 100192)

基金项目:本文系北京市教委科技发展计划面上项目(项目编号:KM201110772018)、北京信息科技大学教改项目(项目编号:2010JG40)的研究成果。

中图分类号:G642.0     文献标识码:A     文章编号:1007-0079(2012)04-0064-02

1958年,美国德州仪器公司展示了全球第一块集成电路板,这标志着世界从此进入到了集成电路的时代。在近50年的时间里,集成电路已经广泛应用于工业、军事、通讯和遥控等各个领域。集成电路具有体积小、重量轻、寿命长和可靠性高等优点,同时成本也相对低廉,便于进行大规模生产。自改革开放以来,我国集成电路发展迅猛,21世纪第1个10年,我国集成电路产量的年均增长率超过25%,集成电路销售额的年均增长率则达到23%。我国集成电路产业规模已经由2001年不足世界集成电路产业总规模的2%提高到2010年的近9%。我国成为过去10年世界集成电路产业发展最快的地区之一。伴随着国内集成电路的发展,对集成电路设计相关人员的需求也日益增加,正是在这种压力驱动下,政府从“十五”计划开始大力发展我国的集成电路设计产业。

在20世纪末21世纪初,国内集成电路设计相关课程都是在研究生阶段开设,本科阶段很少涉及。不仅是因为其难度相对本科生较难接受,而且集成电路设计人员的需求在我国还未进入爆发期。我国的集成电路发展总体滞后国外先进国家的发展水平。进入21世纪后,我国的集成电路发展迅速,集成电路设计需求剧增。[1]为了适应社会发展的需要,同时也为更好地推进我国集成电路设计的发展,国家开始加大力度推广集成电路设计相关课程的本科教学工作。经过十年多的发展,集成电路设计的本科教学取得了较大的成果,较好地推进了集成电路设计行业的发展,但凸显出的问题也日益明显。本文将以已有的集成电路设计本科教学经验为基础,结合对相关院校集成电路设计本科教学的调研,详细分析集成电路设计的本科教学现状,并以此为基础探索集成电路设计本科教学的改革。

一、集成电路设计本科教学存在的主要问题

在政府的大力扶持下,自“十五”计划开始,国内的集成电路设计本科教学开始走向正轨。从最初的少数几个重点高校到后来众多相关院校纷纷设置了集成电路设计本科专业并开设了相关的教学内容。近几年本科学历的集成电路设计人员数量逐渐增加,经历本科教学后的本科生无论是选择就业还是选择继续深造,都对国内集成电路设计人员紧缺的现状起到了一定的缓解作用。但从企业和相关院校的反馈来看,目前国内集成电路设计方向的本科教学仍然存在很多问题,教学质量有待进一步提高,教学手段需做相应调整,教学内容应更多地适应现阶段产业界发展需求。其主要存在以下几方面问题。

首先,课程设置及课程内容不合理,导致学生学习热情降低。现阶段,对于集成电路设计,国内的多数院校在本科阶段主要开设有如下课程:“固体物理”、“晶体管理”、“模拟集成电路设计”和“数字集成电路设计”(各校命名方式可能有所不同)等。固体物理和晶体管原理是方向基础课程,理论性较强,公式推导较多,同时对学生的数学基础要求比较高。一方面,复杂的理论分析和繁琐的公式推导严重降低了本科生的学习兴趣,尤其是对于很多总体水平相对较差的学生。而另外一方面,较强的数学基础要求又进一步打击学生的学习积极性。另外,还有一些高等院校在设置课程教学时间上也存在很多问题。例如:有些高等院校将“固体物理”课程和“半导体器件物理”课程放在同一个学期进行教学,对于学生来说,没有固体物理的基础就直接进入“晶体管原理”课程的学习会让学生很长一段时间都难以进入状态,将极大打击学生的学习兴趣,从而直接导致学生厌学甚至放弃相关方向的学习。而这两门课是集成电路设计的专业基础课,集成电路设计的重点课程“模拟集成电路设计”和“数字集成电路设计”课程的学习需要这两门课的相关知识作为基础,如果前面的基础没有打好,很难想象学生如何进行后续相关专业知识的的学习,从而直接导致学业的荒废。

其次,学生实验教学量较少,学生动手能力差。随着IC产业的发展,集成电路设计技术中电子设计自动化(Electronic design automatic,EDA)无论是在工业界还是学术界都已经成为必备的基础手段,一系列的设计方法学的研究成果在其中得以体现并在产品设计过程中发挥作用。因此,作为集成电路设计方向的本科生,无论是选择就业还是选择继续深造,熟悉并掌握一些常用的集成电路设计EDA工具是必备的本领,也是促进工作和学习的重要方式。为了推进EDA工具的使用,很多EDA公司有专门的大学计划,高校购买相关软件的价格相对便宜得多。国家在推进IC产业发展方面也投入了大量的资金,现在也有很多高等院校已经具备购买相关集成电路设计软件的条件,但学生的实际使用情况却喜忧参半。有些高校在培养学生动手能力方面确实下足功夫,学生有公用机房可以自由上机,只要有兴趣学生可以利用课余时间摸索各种EDA软件的使用,这对他们以后的工作和学习奠定了很好的基础。但仍然还有很多高校难以实现软件使用的最大化,购买的软件主要供学生实验课上使用,平时学生很少使用,实验课上学到的一点知识大都是教师填鸭式灌输进去的,学生没有经过自己的摸索,毕业后实验课上学到的知识已经忘得差不多了,在后续的工作或学习中再用到相关工具时还得从头再来学习。动手能力差在学生择业时成为一个很大的不足。[2]

再者,理工分科紊乱,属性不一致。集成电路设计方向从专业内容及专业性质上分应该属于工科性质,但很多高校在专业划分时却将该专业划归理科专业。这就使得很多学生在就业时遇到问题。很多招聘单位一看是理科就片面认为是偏理论的内容,从而让很多学生错失了进一步就业的好机会。而这样的结果直接导致后面报考该专业的学生越来越少,最后只能靠调剂维持正常教学。其实,很多高校即使是理科性质的集成电路设计方向学习的课程和内容,与工科性质的集成电路设计方向是基本一致的,只是定位属性不一致,结果却大相径庭。

二、改革措施

鉴于目前国内集成电路设计方向的本科教学现状,可以从以下几个方面改进,从而更好地推进集成电路设计的本科教学。

1.增加实验教学量

现阶段的集成电路本科教学中实验教学量太少,以“模拟集成电路设计”课程为例,多媒体教学量40个学时但实验教学仅8个学时。相对于40个学时的理论学习内容,8个学时的实验教学远远不能满足学生学以致用或将理论融入实践的需求。40个学时的理论课囊括了单级预算放大器、全差分运算放大器、多级级联运算放大器、基准电压源电流源电路、开关电路等多种电路结构,而8个学时的实验课除去1至2学时的工具学习,留给学生电路设计的课时量太少。

在本科阶段就教会学生使用各种常用EDA软件,对于增加学生的就业及继续深造机会是非常必要的。一方面,现在社会的竞争是非常激烈的,很少有单位愿意招收入职后还要花比较长的时间专门充电的新员工,能够一入职就工作那是最好不过的。另一方面,实验对于学生来说比纯理论的学习更容易接受,而且实验过程除了可以增加学生的动手操作能力,同样会深化学生对已有理论知识的理解。因此,在实践教学工作中,增加本科教学的实验教学量可以有效促进教学和增进学生学习兴趣。

2.降低理论课难度尤其是复杂的公式推导

“教师的任务是授之以渔,而不是授之以鱼”,这句话对于集成电路设计专业老师来说恰如其分。对于相同的电路结构,任何一个电路参数的变化都可能会导致电路性能发生翻天覆地的变化。在国际国内,每年都会有数百个新电路结构专利产生,而这些电路的设计人员多是研究生或以上学历人员,几乎没有一个新的电路结构是由本科生提出的。

对于本科生来说,他们只是刚刚涉足集成电路设计产业,学习的内容是最基础的集成电路相关理论知识、电路结构及特点。在创新方面对他们没有过多的要求,因此他们不需要非常深刻地理解电路的各种公式尤其是复杂的公式及公式推导,其学习重点应该是掌握基础的电路结构、电路分析基本方法等,而不是纠结于电路各性能参数的推导。例如,对于集成电路设计专业的本科必修课程――“固体物理”和“晶体管原理”,冗长的公式及繁琐的推导极大地削弱了学生的学习兴趣,同时对于专业知识的理解也没有太多的益处。[3]另外,从专业需要方面出发,对于集成电路设计者来说更多的是需要学生掌握各种半导体器件的基本工作原理及特性,而并非是具体的公式。因此,减少理论教学中繁琐的公式推导,转而侧重于基本原理及特性的物理意义的介绍,对于学生来说更加容易接受,也有益于之后“模拟集成电路”、“数字集成电路”的教学。

3.增加就业相关基础知识含量

从集成电路设计专业进入本科教学后的近十年间本科生就业情况看,集成电路设计专业的本科生毕业后直接从事集成电路设计方向相关工作的非常少,多数选择继续深造或改行另谋生路。这方面的原因除了因为本科生在基本知识储备方面还不能达到集成电路设计人员的要求外,更主要的原因是随着国家对集成电路的大力扶持,现在开设集成电路设计相关专业的高等院校越来越多,很多都是具有研究生办学能力的高校,也就是说有更多的更高层次的集成电路设计人才在竞争相对原本就不是很多的集成电路设计岗位。

另外一方面,集成电路的版图、集成电路的工艺以及集成电路的测试等方面也都是与集成电路设计相关的工作,而且这些岗位相对于集成电路设计岗位来说对电路设计知识的要求要低很多。而从事集成电路版图、集成电路工艺或集成电路测试相关工作若干年的知识积累将极大地有利于其由相关岗位跳槽至集成电路设计的相关岗位。因此,从长期的发展目标考虑,集成电路设计专业本科毕业生从事版图、工艺、测试相关方向的工作可能更有竞争力,也更为符合本科生知识储备及长期发展的需求。这就对集成电路设计的本科教学内容提出了更多的要求。为了能更好地贴近学生就业,在集成电路设计的本科教学内容方面,教师应该更多地侧重于基本的电路版图知识、硅片工艺流程、芯片测试等相关内容的教学。

三、结论

集成电路产业是我国的新兴战略性产业,是国民经济和社会信息化的重要基础。大力推进集成电路产业的发展,必须强化集成电路设计在国内的本科教学质量和水平,而国内的集成电路设计本科教学还处在孕育发展的崭新阶段,它是适应现代IC产业发展及本科就业形势的,但目前还存在很多问题亟待解决。本文从已有的教学经验及调研情况做了一些分析,但这远没有涉及集成电路设计专业本科教学的方方面面。不过,可以预测,在国家大力扶持下,在相关教师及学生的共同努力下,我国的集成电路设计本科教学定会逐步走向成熟,更加完善。

参考文献:

[1]王为庆.高职高专《Protel电路设计》教学改革思路探索[J].考试周刊,2011,(23).